aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket37/genbool.vhdl
blob: 438da77a5bd1818aeff54ca1ffba769465b1ce57 (plain)
1
2
3
4
5
6
7
8
entity genbool is
  generic (val : boolean := False);
end genbool;

architecture behav of genbool is
begin
  assert val severity failure;
end behav;