aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket37/genlogic.vhdl
blob: b64d21f9ec61c681405d3c059bdeaf6ae509dac6 (plain)
1
2
3
4
5
6
7
8
9
10
11
library ieee;
use ieee.std_logic_1164.all;

entity genlogic is
  generic (val : std_logic := '0');
end genlogic;

architecture behav of genlogic is
begin
  assert val = '1' or val = 'H' severity failure;
end behav;