aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket47/ent.vhdl
blob: 9a17e5c8a5eb82f5b819edd1a2788a29ee4d46af (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
entity ent is
  generic (str : string);
end entity;

architecture a of ent is
begin
  main : process
  begin
    report str;
    wait;
  end process;
end architecture;