aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket50/ent.vhdl
blob: c1cc8d35add374574cd05e35fac5df1b0293043a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
entity ent is
end entity;

architecture a of ent is
  type enum_t is (cond);
  impure function cond return boolean is
  begin
    return false;
  end function;
begin
  main : process
  begin
    if cond then
    end if;
  end process;
end architecture;