aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket62/repro.vhdl
blob: 349b9972941f456280632458b701e788d4a0c593 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
entity ent is
end;

architecture behav of ent is
  shared variable v : integer;
begin
  process
  begin
    v := 2;
    wait for 2 ns;
    assert v = 5 severity failure;
    wait;
  end process;

  process
  begin
    wait for 1 ns;
    assert v = 2 severity failure;
    v := 5;
    wait;
  end process;
end;