blob: 2ead4dd046a0a80a42a512d870daa80b5d8337e5 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity ent2 is
end entity;
architecture a of ent2 is
procedure proc(constant value : std_logic_vector) is
constant l : natural := maximum (value'length, value'length);
begin
end procedure;
begin
end architecture;
|