blob: de6b082d0cba38129f8910ff9b74315854a2837c (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
|
library ieee;
use ieee.numeric_std.all;
entity ent is
end entity;
architecture a of ent is
begin
main : process
variable a,b : unsigned(0 downto 0);
begin
assert a = b; -- Works
assert ieee.numeric_std."="(a, b);
end process;
end architecture;
|