aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket76/bug.vhdl
blob: dd9e6f15625cdf30b64d8753a6031ad868730be9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
entity ent is
end entity;

architecture a of ent is
begin
  main : process
    variable t : time := 4 ns;
  begin
    report to_string(t / (2 ns));
    wait;
  end process;
end architecture;