aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket78/bug.vhdl
blob: 5faa5952eb94d8161dc8e3cf752e8b6685cd68a3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
entity ent is
end entity;

architecture a of ent is
  procedure proc(bv : bit_vector) is
  begin
    report to_string(bv'length);
  end procedure;
begin
  main : process
    variable bv : bit_vector(0 to 1);
  begin
    proc(bv);
    wait;
  end process;
end architecture;