aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket89/repro.vhdl
blob: 83451729a71c56447376eea7c4ea8730272f5359 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
entity repro is
end repro;

architecture behav of repro is
  signal s : natural;
begin  -- behav
  process (s) is
    variable v : natural;
  begin
    v := s'delayed (0 ns);
  end process;

  process
  begin
    s <= 3;
    wait for 1 ns;
    s <= 4;
    wait for 0 ns;
    s <= 5;
    wait for 0 ns;
    s <= 5;
    wait;
  end process;
end behav;