aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket9/index_range_test_A.vhd
blob: a17a107a63a17430d8f753b759cc0a60b4d849bc (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
--
-- indexing testcase "A" derived from gna bug16782
--
--  ghdl-0.31-mcode on win32 : indexing off the end of an unconstrained port results in an unhandled exception
--
entity comp2 is

  port(a :in bit_vector);

end entity;

architecture arch of comp2 is

  constant DATAPATH : natural := a'length;
  signal   tmp      : bit;

begin

  tmp <= a(DATAPATH+3);

end architecture;


entity index_range_test_A is end entity;

architecture arch of index_range_test_A is

  constant DATAPATH :natural := 16;
  signal a :bit_vector(DATAPATH-1 downto 0);

begin

  i_comp: entity work.comp2 port map(a);

end architecture;