aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket92/cover_report2.vhd
blob: 9e4050372d91a4ad49c36db1460fbec4266e05e2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
library ieee;
  use ieee.std_logic_1164.all;

library std;
  use std.env.all;



entity cover_report2 is
end entity cover_report2;



architecture test of cover_report2 is


  signal s_a   : std_logic;
  signal s_b   : std_logic;
  signal s_c   : std_logic;
  signal s_clk : std_logic := '0';


begin


  s_clk <= not(s_clk) after 5 ns;


  process is
  begin
    s_a <= '0';
    s_b <= '0';
    s_c <= '0';
    wait until rising_edge(s_clk);
    s_a <= '1';
    wait until rising_edge(s_clk);
    s_a <= '0';
    s_b <= '1';
    wait until rising_edge(s_clk);
    s_b <= '0';
    s_c <= '1';
    wait until rising_edge(s_clk);
    s_c <= '0';
    stop(0);
  end process;


  -- psl default clock is rising_edge(s_clk);
  --
  -- psl sequence test_p is {s_a; s_b};
  --
  -- DOES WORK
  -- -- psl TEST : cover test_p;
  --
  -- DOESN'T WORK:
  -- psl cover test_p report "Covered";


end architecture test;