aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket94/apkg.vhd
blob: 09eba9559bf7480ecbc3e03c510bdcc02d186aab (plain)
1
2
3
4
5
6
7
8
9
10
library ieee;
use ieee.std_logic_1164.all;

package apkg is

    component acomp is
        port (x: in std_ulogic; y: out std_ulogic);
    end component;

end apkg;