aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket94/tb3.vhd
blob: 9cef08e29a6a6c116760d018699313f7a58b9046 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
library ieee;
use ieee.std_logic_1164.all;
library alib;

entity tb3 is
end;

architecture arch of tb3 is

    signal a, b :  std_logic := '0';

begin
    ainst: alib.apkg.acomp
        port map (a, b);

    process is
    begin
        a <= '0';
        wait for 1 ns;
        assert b = '0' report "component is missing" severity failure;
        a <= '1';
        wait for 1 ns;
        assert b = '1' report "component is missing" severity failure;
        wait;
  end process;

end architecture;