aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/libghdl/examples/comments/ent_inside.vhdl
blob: 834eaa9993b8fd2ac8a2ef2f522996d44a268749 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.std_logic_1164.all;

entity e2 is
  -- comments in design units (python doc-string style) :e2:
    -- might be multi line :e2:
    generic (
      -- comment before a generic :frequency:
        -- might be multiline :frequency:
        constant FREQUENCY : positive
    );
    port (
      signal Clock : in std_logic
    );
end entity;