aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/blackbox01/blackbox1_adder_bb.vhdl
blob: 47227eab310c5ddc89be8ffa719d0afb19543b44 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity blackbox1_adder is
  port (a, b : in std_logic_vector(7 downto 0);
        r : out std_logic_vector(7 downto 0));
end blackbox1_adder;

architecture behav of blackbox1_adder is
  attribute syn_black_box : boolean;
  attribute syn_black_box of behav : architecture is true;
begin
end behav;