aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/comp03/sub1.vhdl
blob: 95604931ceaf52c995176cacf84341a9ded48a8f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
library ieee;
use ieee.std_logic_1164.all;

entity sub1 is
  port (p : std_logic_vector (7 downto 0);
        o : out std_logic);
end sub1;

architecture behav of sub1 is
begin
  o <= p (0);
end behav;