aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/tb_rec03.vhdl
blob: 982c8d7e65e6951b9ebf7056bf45c303fd6789a5 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
entity tb_rec03 is
end tb_rec03;

library ieee;
use ieee.std_logic_1164.all;
use work.rec03_pkg.all;

architecture behav of tb_rec03 is
  signal inp : std_logic;
  signal r : myrec;
begin
  dut: entity work.rec03
    port map (inp => inp, o => r);

  process
  begin
    inp <= '1';
    wait for 1 ns;
    assert r = (a => s0, b => '0') severity failure;

    inp <= '0';
    wait for 1 ns;
    assert r = (a => s3, b => '1') severity failure;

    wait;
  end process;
end behav;