aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/enum01/test.vhdl
blob: 6709ea1c740268c393047881b5340123fe376962 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
use work.test_pkg.all;

entity test is
	port (
		x : in number_t;
		y : in number_t;

		eq  : out boolean;
		neq : out boolean;
		lt  : out boolean;
		lte : out boolean;
		gt  : out boolean;
		gte : out boolean
	);
end entity;

architecture a of test is
begin
	eq  <= x  = y;
	neq <= x /= y;
	lt  <= x  < y;
	lte <= x <= y;
	gt  <= x  > y;
	gte <= x >= y;
end architecture;