blob: 0388e33f42f9c952201146a760c5c2459efc38a1 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
|
library ieee;
use ieee.std_logic_1164.all;
use std.env.stop;
entity test is
generic (
SIM : boolean := false
);
port (
val : in std_ulogic
);
end entity test;
architecture behaviour of test is
begin
process_0: process(all)
begin
if SIM and val = '1' then
stop;
end if;
end process;
end architecture behaviour;
|