aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1333/ascending_attribute.vhdl
blob: 96631003c0546b372d0250dc35c2d15c95220951 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
library ieee;
use ieee.std_logic_1164.all;

entity ascending_attribute is
  port (
    a : in std_logic_vector(7 downto 0);
    b : out boolean
  );
end ascending_attribute;

architecture rtl of ascending_attribute is
  function is_ascending(i : std_logic_vector) return boolean is
  begin
    return i'ASCENDING;
  end function;
begin
  b <= is_ascending(a);
  assert not is_ascending(a);
end rtl;