aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1591/issue.vhdl
blob: 242c1ee3bc2551f82edbd32ef2ef39f2f1240149 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
library ieee;
  use ieee.std_logic_1164.all;


entity issue is
  port (
    clk : in std_logic
  );
end entity issue;


architecture psl of issue is

  signal a : boolean := true;

begin


  testG : if true generate

    signal b : boolean := true;
    signal c : boolean := false;

  begin

    c <= true;

    -- All is sensitive to rising edge of clk
    default clock is rising_edge(clk);

    -- This assertion works
    INITIAL_0_a : assert always a;

    -- This assertion generates an ghdl-yosys-plugin error
    -- ERROR: Assert `n.id != 0' failed in src/ghdl.cc:204.
    INITIAL_1_a : assert always b;

    -- This assertion works
    INITIAL_2_a : assert always c;

  end generate testG;

  -- Same error occurs when using a block instead of a generate
  -- statement
  testB : block is

    signal b : boolean := true;
    signal c : boolean := false;

  begin

    c <= true;

    -- All is sensitive to rising edge of clk
    default clock is rising_edge(clk);

    -- This assertion works
    INITIAL_0_a : assert always a;

    -- This assertion generates an ghdl-yosys-plugin error
    -- ERROR: Assert `n.id != 0' failed in src/ghdl.cc:204.
    INITIAL_1_a : assert always b;

    -- This assertion works
    INITIAL_2_a : assert always c;

  end block testB;


end architecture psl;