aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1645/tb_ent.vhdl
blob: bed9fcfc3f556dd7f455801f9a72a1dfa8d75f66 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
entity tb_ent is
end tb_ent;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_ent is
  signal clk : std_logic;
  signal r : std_logic;
begin
  dut: entity work.ent
    port map (
      clk => clk,
      r => r);

  process
    procedure pulse is
    begin
      clk <= '0';
      wait for 1 ns;
      clk <= '1';
      wait for 1 ns;
    end pulse;
  begin
    wait for 1 ns;
    assert r = '1' severity failure;
    pulse;
    assert r = '1' severity failure;
    pulse;
    assert r = '1' severity failure;
    pulse;
    assert r = '0' severity failure;
    pulse;
    assert r = '1' severity failure;
    wait;
  end process;
end behav;