blob: 4dbefb1e2e774fcb67669be75bcfe24b77cc66a7 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
|
library ieee;
use ieee.std_logic_1164.all;
entity test_to_x01z is
port (
i_a : in std_logic;
i_b : in std_logic;
o_x : out std_logic
);
end;
architecture rtl of test_to_x01z is
signal a, b : std_logic;
begin
a <= To_X01Z(i_a);
b <= To_X01Z(i_b);
o_x <= a and b;
end;
|