aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2390/types.vhdl
blob: 7d751e79a2b91540b0ce46887d1121a019bae3a0 (plain)
1
2
3
4
5
6
7
8
9
library ieee;
context ieee.ieee_std_context;

package uCPUtypes is
  alias logic is std_ulogic;
  alias logic_vec is std_ulogic_vector;
  subtype unsigned_byte is unsigned(7 downto 0);
  subtype code_word is unsigned(11 downto 0);
end package uCPUtypes;