aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue662/psl_fell.vhdl
blob: a3261a923b4c7a9db7b77db605e0edcf883fa80a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
library ieee;
use ieee.std_logic_1164.all;

entity psl_fell is
  port (clk, a, b : in std_logic
  );
end entity psl_fell;


architecture psl of psl_fell is
begin

  -- All is sensitive to rising edge of clk
  default clock is rising_edge(clk);

  -- This assertion holds
  FELL_0_a : assert always {a; not a} |-> fell(a);

  -- This assertion holds
  FELL_1_a : assert always (fell(a) -> (prev(a) = '1' and a = '0'));

  -- This assertion should fail at cycle 11
  FELL_2_a : assert always fell(a) -> b;

end architecture psl;