aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/pragma01/tb_pragma01_sim.vhdl
blob: b85cc351fcd0d018ddc5eea75c16ee1920897e3d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
entity tb_pragma01_sim is
end tb_pragma01_sim;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_pragma01_sim is
  signal is_sim : std_logic;
begin
  dut: entity work.pragma01
    port map (is_sim);

  process
  begin
    wait for 1 ns;
    assert is_sim = '1' severity failure;
    wait;
  end process;
end behav;