aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/simple01/simple01.vhdl
blob: 45c96f1d44149e165296a7e9d33313a8e568ca9c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
library ieee;
use ieee.std_logic_1164.all;

entity simple01 is
  port (a, b, c : in std_logic;
        z : out std_logic);
end simple01;

architecture behav of simple01 is
begin
  process(A, B, C)
    variable temp : std_logic;
  begin
    temp := A and B;
    Z <= temp or C;
  end process;
end behav;