aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth34/module.vhdl
blob: 67f3dd4b33539ace05c5881576ecaf00f61069dc (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
library ieee;
  use ieee.std_logic_1164.all;

entity module is
  port (
    clk : in std_logic;
    a : in std_logic_vector(7 downto 0);
    b : out std_logic_vector(7 downto 0)
  );
end module;

architecture rtl of module is
begin
  i_submodule : entity work.submodule
  port map (
    clk => clk,
    a => a,
    b => b
  );
end rtl;