aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth34/repro_slv.vhdl
blob: 4b0e1b0e5121e72705080d8256d9af7860d9aed8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
library ieee;
  use ieee.std_logic_1164.all;

entity sub_slv is
  port (
    clk : in std_logic;
    a : in std_logic_vector(7 downto 0);
    b : out std_logic_vector(7 downto 0)
  );
end sub_slv;

architecture rtl of sub_slv is
begin
  process(clk)
  begin
    if rising_edge(clk) then
      b <= a;
    end if;
  end process;
end rtl;


library ieee;
  use ieee.std_logic_1164.all;

entity repro_slv is
  port (
    clk : in std_logic;
    a : in std_logic_vector(7 downto 0);
    b : out std_logic_vector(7 downto 0)
  );
end repro_slv;

architecture rtl of repro_slv is
begin
  i_sub_slv : entity work.sub_slv
  port map (
    clk => clk,
    a => a,
    b => b
  );
end rtl;