aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth34/tb_repro_slv.vhdl
blob: 45f0621eb6cafdd78469bced41f0aee8b99be0bc (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
entity tb_repro_slv is
end tb_repro_slv;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_repro_slv is
  signal clk : std_logic;
  signal a : std_logic_vector(7 downto 0);
  signal b : std_logic_vector(7 downto 0);
begin
  dut: entity work.repro_slv
    port map (
      clk => clk, a => a, b => b);

  process
    procedure pulse is
    begin
      clk <= '0';
      wait for 1 ns;
      clk <= '1';
      wait for 1 ns;
    end pulse;
  begin
    a <= x"ab";
    pulse;
    assert b = x"ab" severity failure;

    a <= x"12";
    pulse;
    assert b = x"12" severity failure;
    wait;
  end process;
end behav;