aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-93/ashenden/compliant/ch_06_mult-b.vhd
blob: c8b6cecb5de844f9eb25c2607c405c772ba01ce8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc

-- This file is part of VESTs (Vhdl tESTs).

-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version. 

-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
-- for more details. 

-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 

-- ---------------------------------------------------------------------
--
-- $Id: ch_06_mult-b.vhd,v 1.2 2001-10-26 16:29:34 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------

architecture behavioral of multiplier is
begin

  behavior : process (a, b) is

                              constant Tpd_in_out : time := 40 ns;
                            variable negative_result  : boolean;
                            variable op1 : std_ulogic_vector(15 downto 0);
                            variable op2 : std_ulogic_vector(15 downto 0);
                            variable result : std_ulogic_vector(31 downto 0);
                            variable carry_in, carry : std_ulogic;

  begin
    op1 := to_X01(a);
    op2 := to_X01(b);
    -- make both operands positive, remembering sign of result
    negative_result := (op1(15) = '1') xor (op2(15) = '1');
    if (op1(15) = '1') then
      carry := '1';
      for index in 0 to 15 loop
        carry_in := carry;
        carry := carry_in and not op1(index);
        op1(index) := not op1(index) xor carry_in;
      end loop;
    end if;
    if (op2(15) = '1') then
      carry := '1';
      for index in 0 to 15 loop
        carry_in := carry;
        carry := carry_in and not op2(index);
        op2(index) := not op2(index) xor carry_in;
      end loop;
    end if;
    -- do long multiplication
    result := (others => '0');
    for count in 0 to 15 loop
      carry := '0';
      if (op2(count) = '1') then
        for index in 0 to 15 loop
          carry_in := carry;
          carry := (result(index+count) and op1(index))
                   or (carry_in and (result(index+count) xor op1(index)));
          result(index+count) := result(index+count) xor op1(index) xor carry_in;
        end loop;
        result(count+16) := carry;
      end if;
    end loop;
    -- result now contains unsigned product, with binary point
    -- between bits 30 and 29.  assign output with sign adjusted.
    if negative_result then
      carry := '1';
      for index in 0 to 31 loop
        carry_in := carry;
        carry := carry_in and not result(index);
        result(index) := not result(index) xor carry_in;
      end loop;
    end if;
    p <= result after Tpd_in_out;
  end process behavior;

end architecture behavioral;