aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-93/ashenden/compliant/ch_18_fg_18_10.vhd
blob: 587b5ac45f3002695c480d000d7ac85f63b0735b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc

-- This file is part of VESTs (Vhdl tESTs).

-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version. 

-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
-- for more details. 

-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 

-- ---------------------------------------------------------------------
--
-- $Id: ch_18_fg_18_10.vhd,v 1.2 2001-10-26 16:29:36 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------

entity fg_18_10 is
end entity fg_18_10;


architecture test of fg_18_10 is

  signal temperature, setting : integer;
  signal enable, heater_fail : bit;

begin

-- code from book

  stimulus_interpreter : process is

                                   use std.textio.all;

                                 file control : text open read_mode is "control";

                                 variable command : line;
                                 variable read_ok : boolean;
                                 variable next_time : time;
                                 variable whitespace : character;
                                 variable signal_id : string(1 to 4);
                                 variable temp_value, set_value : integer;
                                 variable on_value, fail_value : bit;

  begin

    command_loop : while not endfile(control) loop

      readline ( control, command );

      -- read next stimulus time, and suspend until then
      read ( command, next_time, read_ok );
      if not read_ok then
        report "error reading time from line: " & command.all
          severity warning;
        next command_loop;
      end if;
      wait for next_time - now;

      -- skip whitespace
      while command'length > 0
        and ( command(command'left) = ' '    -- ordinary space
              or command(command'left) = ' '  -- non-breaking space
              or command(command'left) = HT ) loop
        read ( command, whitespace );
      end loop;

      -- read signal identifier string
      read ( command, signal_id, read_ok );
      if not read_ok then
        report "error reading signal id from line: " & command.all
          severity warning;
        next command_loop;
      end if;
      -- dispatch based on signal id
      case signal_id is

        when "temp" =>
          read ( command, temp_value, read_ok );
          if not read_ok then
            report "error reading temperature value from line: "
              & command.all
              severity warning;
            next command_loop;
          end if;
          temperature <= temp_value;

        when "set " =>
          -- . . .    -- similar to "temp"

          -- not in book
          read ( command, set_value, read_ok );
          if not read_ok then
            report "error reading setting value from line: "
              & command.all
              severity warning;
            next command_loop;
          end if;
          setting <= set_value;
          -- end not in book

        when "on  " =>
          read ( command, on_value, read_ok );
          if not read_ok then
            report "error reading on value from line: "
              & command.all
              severity warning;
            next command_loop;
          end if;
          enable <= on_value;

        when "fail" =>
          -- . . .    -- similar to "on  "

          -- not in book
          read ( command, fail_value, read_ok );
          if not read_ok then
            report "error reading fail value from line: "
              & command.all
              severity warning;
            next command_loop;
          end if;
          heater_fail <= fail_value;
          -- end not in book

        when others =>
          report "invalid signal id in line: " & signal_id
            severity warning;
          next command_loop;

      end case;

    end loop command_loop;

    wait;

  end process stimulus_interpreter;

-- end code from book

end architecture test;