aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/variable/simple-integer-assign.vhdl
blob: 265cadbcee364264ff4cc31f74c12ce9bc46d34c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
entity foo is
end foo;

use std.textio.all;

architecture only of foo is
begin  -- only
  process
    variable x : integer := 0;
  begin  -- process
    x := 1;
    assert x = 1 report "TEST FAILED - x does not equal 1" severity failure;
    assert x /= 1 report "TEST PASSED" severity note;
    wait;
  end process;
end only;