aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-ams/ashenden/compliant/AMS_CS1_Mixed_Sig/dac_10_bit.vhd
blob: 7f2226f49ea8b7e1f129469151a89d2c9e7fbfc6 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc

-- This file is part of VESTs (Vhdl tESTs).

-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version. 

-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
-- for more details. 

-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 

library ieee;  use ieee.std_logic_1164.all;
library ieee_proposed;  use ieee_proposed.electrical_systems.all;
                        
entity dac_10_bit is
  port ( signal bus_in : in std_ulogic_vector(9 downto 0); 
         signal clk : in std_ulogic;
         terminal analog_out :  electrical );
end entity dac_10_bit;

----------------------------------------------------------------

architecture behavioral of dac_10_bit is
  
  constant v_max : real := 5.0;
  signal s_out : real := 0.0;
  quantity v_out across i_out through analog_out to electrical_ref;
        
begin
  
  convert : process is
    variable v_sum : real;
    variable delta_v : real;
  begin
    wait until clk'event and (clk = '1' or clk = 'H');
    v_sum  := 0.0;
    delta_v  := v_max;
    for i in bus_in'range loop
      delta_v  := delta_v / 2.0;
      if bus_in(i) = '1' or bus_in(i) = 'H' then
        v_sum := v_sum + delta_v;
      end if;
    end loop;
    s_out <= v_sum;
  end process convert;
        
  v_out == s_out'ramp(100.0E-9);
        
end architecture behavioral;