aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-ams/ashenden/compliant/analog-modeling/tb_quad_opamp.vhd
blob: dc55ca3d7f8cd70491d6ef43c05442f737b76e17 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc

-- This file is part of VESTs (Vhdl tESTs).

-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version. 

-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
-- for more details. 

-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 


library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;

library IEEE_proposed;
use IEEE_proposed.electrical_systems.all;

entity tb_quad_opamp is
end tb_quad_opamp ;

architecture TB_quad_opamp of tb_quad_opamp is
    -- Component declarations
    -- Signal declarations
    terminal amp_out : electrical_vector(1 to 4);
    terminal inm : electrical_vector(1 to 4);
    terminal inp : electrical_vector(1 to 4);
begin
    -- Signal assignments
    -- Component instances
    opamp_quad_slew1 : entity work.quad_opamp_wa(slew_limited)
        port map(
            n1 => inp,
            n2 => inm,
            output => amp_out
        );
    R4 : entity work.resistor(ideal)
        generic map(
            res => 10.0e3
        )
        port map(
            p1 => ELECTRICAL_REF,
            p2 => amp_out(4)
        );
    v4 : entity work.v_pulse(ideal)
        generic map(
            period => 200 us,
            width => 100 us,
            delay => 10 us,
            tp2i => 0.9 us,
            ti2p => 0.70 us,
            pulse => 5.0
        )
        port map(
            pos => inm(1),
            neg => ELECTRICAL_REF
        );
    R5 : entity work.resistor(ideal)
        generic map(
            res => 10.0e3
        )
        port map(
            p1 => ELECTRICAL_REF,
            p2 => amp_out(3)
        );
    R6 : entity work.resistor(ideal)
        generic map(
            res => 10.0e3
        )
        port map(
            p1 => ELECTRICAL_REF,
            p2 => amp_out(2)
        );
    R7 : entity work.resistor(ideal)
        generic map(
            res => 10.0e3
        )
        port map(
            p1 => ELECTRICAL_REF,
            p2 => amp_out(1)
        );
    v5 : entity work.v_pulse(ideal)
        generic map(
            pulse => 5.0,
            ti2p => 0.70 us,
            tp2i => 0.9 us,
            delay => 10 us,
            width => 100 us,
            period => 200 us
        )
        port map(
            pos => inm(2),
            neg => ELECTRICAL_REF
        );
    v6 : entity work.v_pulse(ideal)
        generic map(
            pulse => 5.0,
            ti2p => 0.70 us,
            tp2i => 0.9 us,
            delay => 10 us,
            width => 100 us,
            period => 200 us
        )
        port map(
            pos => inm(3),
            neg => ELECTRICAL_REF
        );
    v7 : entity work.v_pulse(ideal)
        generic map(
            pulse => 5.0,
            ti2p => 0.70 us,
            tp2i => 0.9 us,
            delay => 10 us,
            width => 100 us,
            period => 200 us
        )
        port map(
            pos => inm(4),
            neg => ELECTRICAL_REF
        );
    R8 : entity work.resistor(ideal)
        generic map(
            res => 10.0e-3
        )
        port map(
            p1 => ELECTRICAL_REF,
            p2 => inp(1)
        );
    R9 : entity work.resistor(ideal)
        generic map(
            res => 10.0e-3
        )
        port map(
            p1 => ELECTRICAL_REF,
            p2 => inp(2)
        );
    R10 : entity work.resistor(ideal)
        generic map(
            res => 10.0e-3
        )
        port map(
            p1 => ELECTRICAL_REF,
            p2 => inp(3)
        );
    R11 : entity work.resistor(ideal)
        generic map(
            res => 10.0e-3
        )
        port map(
            p1 => ELECTRICAL_REF,
            p2 => inp(4)
        );
end TB_quad_opamp ;