aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-ams/ashenden/compliant/files-and-IO/textio.vhd
blob: 7b5cffef69f8360e2c518dbbeb01c893ea0120ec (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc

-- This file is part of VESTs (Vhdl tESTs).

-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version. 

-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
-- for more details. 

-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 

package textio is

  type line is access string;

  type text is file of string;

  type side is (right, left);

  subtype width is natural;

  file input : text open read_mode is "std_input";
  file output : text open write_mode is "std_output";

  -- use this declaration for VHDL-2001
  procedure readline(file f: text; l: inout line);

  -- use this declaration for VHDL-AMS
  procedure readline(file f: text; l: out line);

  procedure read ( L : inout line;  value: out bit;  good : out boolean );
  procedure read ( L : inout line;  value: out bit );

  procedure read ( L : inout line;  value: out bit_vector;  good : out boolean );
  procedure read ( L : inout line;  value: out bit_vector );

  procedure read ( L : inout line;  value: out boolean;  good : out boolean );
  procedure read ( L : inout line;  value: out boolean );

  procedure read ( L : inout line;  value: out character;  good : out boolean );
  procedure read ( L : inout line;  value: out character );

  procedure read ( L : inout line;  value: out integer;  good : out boolean );
  procedure read ( L : inout line;  value: out integer );

  procedure read ( L : inout line;  value: out real;  good : out boolean );
  procedure read ( L : inout line;  value: out real );

  procedure read ( L : inout line;  value: out string;  good : out boolean );
  procedure read ( L : inout line;  value: out string );

  procedure read ( L : inout line;  value: out time;  good : out boolean );
  procedure read ( L : inout line;  value: out time );

  procedure writeline ( file f : text;  L : inout line );

  procedure write ( L : inout line;  value : in bit;
                    justified: in side := right;  field: in width := 0 );

  procedure write ( L : inout line;  value : in bit_vector;
                    justified: in side := right;  field: in width := 0 );

  procedure write ( L : inout line;  value : in boolean;
                    justified: in side := right;  field: in width := 0 );

  procedure write ( L : inout line;  value : in character;
                    justified: in side := right;  field: in width := 0 );

  procedure write ( L : inout line;  value : in integer;
                    justified: in side := right;  field: in width := 0 );

  procedure write ( L : inout line;  value : in real;
                    justified: in side := right;  field: in width := 0;
                    digits: in natural := 0 );

  procedure write ( L : inout line;  value : in string;
                    justified: in side := right;  field: in width := 0 );

  procedure write ( L : inout line;  value : in time;
                    justified: in side := right;  field: in width := 0;
                    unit: in time := ns );

end package textio;