diff options
author | Clifford Wolf <clifford@clifford.at> | 2015-07-18 13:10:40 +0200 |
---|---|---|
committer | Clifford Wolf <clifford@clifford.at> | 2015-07-18 13:10:40 +0200 |
commit | 48154cb6f452d3bdb4da36cc267b4b6c45588dc9 (patch) | |
tree | 3ec3be9ef7e8db1fb7c764ed8202e0215a8eb7c7 /docs | |
parent | 13e63e6b65e044e348356731b55610d02cb308b9 (diff) | |
download | icestorm-48154cb6f452d3bdb4da36cc267b4b6c45588dc9.tar.gz icestorm-48154cb6f452d3bdb4da36cc267b4b6c45588dc9.tar.bz2 icestorm-48154cb6f452d3bdb4da36cc267b4b6c45588dc9.zip |
Imported full dev sources
Diffstat (limited to 'docs')
-rw-r--r-- | docs/checkerboard.png | bin | 0 -> 1083 bytes | |||
-rw-r--r-- | docs/checkerboard.sh | 21 | ||||
-rw-r--r-- | docs/colbuf.svg | 184 | ||||
-rw-r--r-- | docs/format.html | 151 | ||||
-rw-r--r-- | docs/index.html | 321 | ||||
-rw-r--r-- | docs/io_tile.html | 496 | ||||
-rw-r--r-- | docs/iosp.svg | 1394 | ||||
-rw-r--r-- | docs/logic_tile.html | 327 | ||||
-rw-r--r-- | docs/ram_tile.html | 95 | ||||
-rw-r--r-- | docs/sp4h.svg | 2076 | ||||
-rw-r--r-- | docs/sp4v.svg | 3982 |
11 files changed, 9047 insertions, 0 deletions
diff --git a/docs/checkerboard.png b/docs/checkerboard.png Binary files differnew file mode 100644 index 0000000..72478de --- /dev/null +++ b/docs/checkerboard.png diff --git a/docs/checkerboard.sh b/docs/checkerboard.sh new file mode 100644 index 0000000..dd045ee --- /dev/null +++ b/docs/checkerboard.sh @@ -0,0 +1,21 @@ +#!/bin/bash + +pbm_to_ppm() { + read line; echo "P3" + read line; echo "$line"; echo "2" + sed "s,0,x,g; s,1,y,g; s,x,$1,g; s,y,$2,g;" + +} + +../icepack/icepack -uc -B0 ../tests/example.bin | pbm_to_ppm "0 0 0" "0 0 2" > checkerboard_0.ppm +../icepack/icepack -ucc -B0 ../tests/example.bin | pbm_to_ppm "0 0 0" "0 1 0" > checkerboard_1.ppm +../icepack/icepack -uc -B1 ../tests/example.bin | pbm_to_ppm "0 0 0" "0 1 1" > checkerboard_2.ppm +../icepack/icepack -ucc -B1 ../tests/example.bin | pbm_to_ppm "0 0 0" "1 0 0" > checkerboard_3.ppm +../icepack/icepack -uc -B2 ../tests/example.bin | pbm_to_ppm "0 0 0" "1 0 1" > checkerboard_4.ppm +../icepack/icepack -ucc -B2 ../tests/example.bin | pbm_to_ppm "0 0 0" "1 1 0" > checkerboard_5.ppm +../icepack/icepack -uc -B3 ../tests/example.bin | pbm_to_ppm "0 0 0" "1 1 1" > checkerboard_6.ppm +../icepack/icepack -ucc -B3 ../tests/example.bin | pbm_to_ppm "0 0 0" "0 1 0" > checkerboard_7.ppm + +convert -evaluate-sequence add checkerboard_[01234567].ppm checkerboard.png +rm -f checkerboard_[01234567].ppm + diff --git a/docs/colbuf.svg b/docs/colbuf.svg new file mode 100644 index 0000000..e903e19 --- /dev/null +++ b/docs/colbuf.svg @@ -0,0 +1,184 @@ +<svg xmlns="http://www.w3.org/2000/svg" height="580" width="460"> +<line x1="40" y1="10" x2="40" y2="550" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="70" y1="10" x2="70" y2="550" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="100" y1="10" x2="100" y2="550" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="130" y1="10" x2="130" y2="550" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="160" y1="10" x2="160" y2="550" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="190" y1="10" x2="190" y2="550" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="220" y1="10" x2="220" y2="550" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="250" y1="10" x2="250" y2="550" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="280" y1="10" x2="280" y2="550" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="310" y1="10" x2="310" y2="550" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="340" y1="10" x2="340" y2="550" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="370" y1="10" x2="370" y2="550" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="400" y1="10" x2="400" y2="550" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="10" y1="40" x2="430" y2="40" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="10" y1="70" x2="430" y2="70" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="10" y1="100" x2="430" y2="100" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="10" y1="130" x2="430" y2="130" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="10" y1="160" x2="430" y2="160" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="10" y1="190" x2="430" y2="190" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="10" y1="220" x2="430" y2="220" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="10" y1="250" x2="430" y2="250" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="10" y1="280" x2="430" y2="280" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="10" y1="310" x2="430" y2="310" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="10" y1="340" x2="430" y2="340" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="10" y1="370" x2="430" y2="370" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="10" y1="400" x2="430" y2="400" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="10" y1="430" x2="430" y2="430" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="10" y1="460" x2="430" y2="460" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="10" y1="490" x2="430" y2="490" style="stroke:rgb(0,0,0);stroke-width:3" /> +<line x1="10" y1="520" x2="430" y2="520" style="stroke:rgb(0,0,0);stroke-width:3" /> +<text x="17" y="565" fill="black">0</text> +<text x="47" y="565" fill="black">1</text> +<text x="77" y="565" fill="black">2</text> +<text x="107" y="565" fill="black">3</text> +<text x="137" y="565" fill="black">4</text> +<text x="167" y="565" fill="black">5</text> +<text x="197" y="565" fill="black">6</text> +<text x="227" y="565" fill="black">7</text> +<text x="257" y="565" fill="black">8</text> +<text x="287" y="565" fill="black">9</text> +<text x="317" y="565" fill="black">10</text> +<text x="347" y="565" fill="black">11</text> +<text x="377" y="565" fill="black">12</text> +<text x="407" y="565" fill="black">13</text> +<text x="435" y="540" fill="black">0</text> +<text x="435" y="510" fill="black">1</text> +<text x="435" y="480" fill="black">2</text> +<text x="435" y="450" fill="black">3</text> +<text x="435" y="420" fill="black">4</text> +<text x="435" y="390" fill="black">5</text> +<text x="435" y="360" fill="black">6</text> +<text x="435" y="330" fill="black">7</text> +<text x="435" y="300" fill="black">8</text> +<text x="435" y="270" fill="black">9</text> +<text x="435" y="240" fill="black">10</text> +<text x="435" y="210" fill="black">11</text> +<text x="435" y="180" fill="black">12</text> +<text x="435" y="150" fill="black">13</text> +<text x="435" y="120" fill="black">14</text> +<text x="435" y="90" fill="black">15</text> +<text x="435" y="60" fill="black">16</text> +<text x="435" y="30" fill="black">17</text> +<line x1="25" y1="415" x2="25" y2="535" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="25" y1="385" x2="25" y2="295" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="25" y1="175" x2="25" y2="265" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="25" y1="145" x2="25" y2="25" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="55" y1="415" x2="55" y2="535" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="55" y1="385" x2="55" y2="295" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="55" y1="175" x2="55" y2="265" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="55" y1="145" x2="55" y2="25" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="85" y1="415" x2="85" y2="535" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="85" y1="385" x2="85" y2="295" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="85" y1="175" x2="85" y2="265" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="85" y1="145" x2="85" y2="25" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="145" y1="415" x2="145" y2="535" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="145" y1="385" x2="145" y2="295" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="145" y1="175" x2="145" y2="265" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="145" y1="145" x2="145" y2="25" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="175" y1="415" x2="175" y2="535" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="175" y1="385" x2="175" y2="295" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="175" y1="175" x2="175" y2="265" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="175" y1="145" x2="175" y2="25" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="205" y1="415" x2="205" y2="535" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="205" y1="385" x2="205" y2="295" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="205" y1="175" x2="205" y2="265" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="205" y1="145" x2="205" y2="25" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="235" y1="415" x2="235" y2="535" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="235" y1="385" x2="235" y2="295" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="235" y1="175" x2="235" y2="265" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="235" y1="145" x2="235" y2="25" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="265" y1="415" x2="265" y2="535" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="265" y1="385" x2="265" y2="295" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="265" y1="175" x2="265" y2="265" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="265" y1="145" x2="265" y2="25" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="295" y1="415" x2="295" y2="535" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="295" y1="385" x2="295" y2="295" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="295" y1="175" x2="295" y2="265" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="295" y1="145" x2="295" y2="25" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="355" y1="415" x2="355" y2="535" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="355" y1="385" x2="355" y2="295" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="355" y1="175" x2="355" y2="265" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="355" y1="145" x2="355" y2="25" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="385" y1="415" x2="385" y2="535" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="385" y1="385" x2="385" y2="295" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="385" y1="175" x2="385" y2="265" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="385" y1="145" x2="385" y2="25" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="415" y1="415" x2="415" y2="535" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="415" y1="385" x2="415" y2="295" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="415" y1="175" x2="415" y2="265" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="415" y1="145" x2="415" y2="25" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="115" y1="445" x2="115" y2="535" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="115" y1="445" x2="115" y2="415" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="115" y1="385" x2="115" y2="295" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="115" y1="205" x2="115" y2="265" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="115" y1="205" x2="115" y2="175" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="115" y1="145" x2="115" y2="25" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="325" y1="445" x2="325" y2="535" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="325" y1="445" x2="325" y2="415" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="325" y1="385" x2="325" y2="295" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="325" y1="205" x2="325" y2="265" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="325" y1="205" x2="325" y2="175" style="stroke:rgb(255,0,0);stroke-width:5" /> +<line x1="325" y1="145" x2="325" y2="25" style="stroke:rgb(255,0,0);stroke-width:5" /> +<circle cx="25" cy="415" r="5" fill="gray" /> +<circle cx="25" cy="385" r="5" fill="gray" /> +<circle cx="25" cy="175" r="5" fill="gray" /> +<circle cx="25" cy="145" r="5" fill="gray" /> +<circle cx="55" cy="415" r="5" fill="gray" /> +<circle cx="55" cy="385" r="5" fill="gray" /> +<circle cx="55" cy="175" r="5" fill="gray" /> +<circle cx="55" cy="145" r="5" fill="gray" /> +<circle cx="85" cy="415" r="5" fill="gray" /> +<circle cx="85" cy="385" r="5" fill="gray" /> +<circle cx="85" cy="175" r="5" fill="gray" /> +<circle cx="85" cy="145" r="5" fill="gray" /> +<circle cx="145" cy="415" r="5" fill="gray" /> +<circle cx="145" cy="385" r="5" fill="gray" /> +<circle cx="145" cy="175" r="5" fill="gray" /> +<circle cx="145" cy="145" r="5" fill="gray" /> +<circle cx="175" cy="415" r="5" fill="gray" /> +<circle cx="175" cy="385" r="5" fill="gray" /> +<circle cx="175" cy="175" r="5" fill="gray" /> +<circle cx="175" cy="145" r="5" fill="gray" /> +<circle cx="205" cy="415" r="5" fill="gray" /> +<circle cx="205" cy="385" r="5" fill="gray" /> +<circle cx="205" cy="175" r="5" fill="gray" /> +<circle cx="205" cy="145" r="5" fill="gray" /> +<circle cx="235" cy="415" r="5" fill="gray" /> +<circle cx="235" cy="385" r="5" fill="gray" /> +<circle cx="235" cy="175" r="5" fill="gray" /> +<circle cx="235" cy="145" r="5" fill="gray" /> +<circle cx="265" cy="415" r="5" fill="gray" /> +<circle cx="265" cy="385" r="5" fill="gray" /> +<circle cx="265" cy="175" r="5" fill="gray" /> +<circle cx="265" cy="145" r="5" fill="gray" /> +<circle cx="295" cy="415" r="5" fill="gray" /> +<circle cx="295" cy="385" r="5" fill="gray" /> +<circle cx="295" cy="175" r="5" fill="gray" /> +<circle cx="295" cy="145" r="5" fill="gray" /> +<circle cx="355" cy="415" r="5" fill="gray" /> +<circle cx="355" cy="385" r="5" fill="gray" /> +<circle cx="355" cy="175" r="5" fill="gray" /> +<circle cx="355" cy="145" r="5" fill="gray" /> +<circle cx="385" cy="415" r="5" fill="gray" /> +<circle cx="385" cy="385" r="5" fill="gray" /> +<circle cx="385" cy="175" r="5" fill="gray" /> +<circle cx="385" cy="145" r="5" fill="gray" /> +<circle cx="415" cy="415" r="5" fill="gray" /> +<circle cx="415" cy="385" r="5" fill="gray" /> +<circle cx="415" cy="175" r="5" fill="gray" /> +<circle cx="415" cy="145" r="5" fill="gray" /> +<circle cx="115" cy="445" r="5" fill="gray" /> +<circle cx="115" cy="445" r="5" fill="gray" /> +<circle cx="115" cy="385" r="5" fill="gray" /> +<circle cx="115" cy="205" r="5" fill="gray" /> +<circle cx="115" cy="205" r="5" fill="gray" /> +<circle cx="115" cy="145" r="5" fill="gray" /> +<circle cx="325" cy="445" r="5" fill="gray" /> +<circle cx="325" cy="445" r="5" fill="gray" /> +<circle cx="325" cy="385" r="5" fill="gray" /> +<circle cx="325" cy="205" r="5" fill="gray" /> +<circle cx="325" cy="205" r="5" fill="gray" /> +<circle cx="325" cy="145" r="5" fill="gray" /> +</svg> diff --git a/docs/format.html b/docs/format.html new file mode 100644 index 0000000..654a75d --- /dev/null +++ b/docs/format.html @@ -0,0 +1,151 @@ +<title>Project IceStorm – Bitstream File Format Documentation</title> +<h1>Project IceStorm – Bitstream File Format Documentation</h1> + +<p> +<i><a href=".">Project IceStorm</a> aims at documenting the bitstream format of Lattice iCE40 +FPGAs and providing simple tools for analyzing and creating bitstream files. +This is work in progress.</i> +</p> + +<h2>General Description of the File Format</h2> + +<p> +The bitstream file starts with the bytes 0xFF 0x00, followed by a sequence of +zero-terminated comment strings, followed by 0x00 0xFF. However, there seems to be +a bug in the Lattice "bitstream" tool that moves the terminating 0x00 0xFF a few +bytes into the comment string in some cases. +</p> + +<p> +After the comment sections the token 0x7EAA997E (MSB first) starts the actual +bit stream. The bitstream consists of one-byte commands, followed by a payload +word, followed by an optional block of data. The MSB nibble of the command byte +is the command opcode, the LSB nibble is the length of the command payload in +bytes. The commands that do not require a payload are using the opcode 0, with +the command encoded in the payload field. Note that this "payload" in this +context refers to a single integer argument, not the blocks of data that +follows the command in case of the CRAM and BRAM commands. +</p> + +<p> +The following commands are known: +</p> + +<p align="center"> +<table cellpadding="3" border> +<tr><th>Opcode</th><th>Description</th></tr> +<tr><td>0</td><td>payload=0: CRAM Data<br/> + payload=3: BRAM Data<br/> + payload=5: Reset CRC<br/> + payload=6: Wakeup</td></tr> +<tr><td>1</td><td>Set bank number</td></tr> +<tr><td>2</td><td>CRC check</td></tr> +<tr><td>5</td><td>Set internal oscillator frequency range<br/> + payload=0: low<br/> + payload=1: medium<br/> + payload=2: high</td></tr> +<tr><td>6</td><td>Set bank width</td></tr> +<tr><td>7</td><td>Set bank height</td></tr> +<tr><td>8</td><td>Set bank offset</td></tr> +<tr><td>9</td><td>payload=0: Disable warm boot<br/> + payload=32: Enable warm boot</td></tr> +</table> +</p> + +<p> +Use <tt>iceunpack -vv</tt> to display the commands as they are interpreted by the tool. +</p> + +<p> +Note: The format itself seems to be very flexible. At the moment it is unclear what the FPGA +devices will do when presented with a bitstream that use the commands in a different way +than the bitstreams generated by the lattice tools. +</p> + +<h2>Writing SRAM content</h2> + +<p> +Most bytes in the bitstream are SRAM data bytes that should be written to the various SRAM banks +in the FPGA. The following sequence is used to program an SRAM cell: +</p> + +<p><ul> +<li>Set bank width (opcode 6)</li> +<li>Set bank height (opcode 7)</li> +<li>Set bank offset (opcode 8)</li> +<li>Set bank number (opcode 1)</li> +<li>CRAM or BRAM Data Command</li> +<li>(width * height / 8) data bytes</li> +<li>two zero bytes</li> +</ul></p> + +<p> +The bank width and height parameters reflect the width and height of the SRAM bank. A large SRAM can +be written in smaller junks. In this case height parameter may be smaller and the offset parameter +reflects the vertical start position. +</p> + +<p> +There are four CRAM and four BRAM banks in an iCE40 FPGA. The different devices from the family +use different widths and heights, but the same number of banks. +</p> + +<p> +The CRAM banks hold the configuration bits for the FPGA fabric and hard IP blocks, the BRAM +corresponds to the contents of the block ram resources. +</p> + +<p> +The ordering of the data bits is in MSB first row-major order. +</p> + +<h2>Organization of the CRAM</h2> + +<p><a href="checkerboard.png"><img style="float:right; padding:1em; padding-top:0" height="200" src="checkerboard.png" border="0"></a></p> + +<p> +The chip is organized into four quadrants. Each CRAM memory bank contains the configuration bits for one quadrant. +The address 0 is always the corner of the quadrant, i.e. in one quadrant the bit addresses increase with the tile x/y +coordinates, in another they increase with the tile x coordinate but decrease with the tile y coordinate, and so on. +</p> + +<p> +For an iCE40 1k device, that has 12 x 16 tiles (not counting the io tiles), the CRAM bank 0 is the one containing the corner tile (1 1), +the CRAM bank 1 contains the corner tile (1 16), the CRAM bank 2 contains the corner tile (12 1) and the CRAM bank 3 contains the +corner tile (12 16). The entire CRAM of such a device is depicted on the right (bank 0 is in the lower left corner in blue/green). +</p> + +<p> +The checkerboard pattern in the picture visualizes which bits are assoziated +with which tile. The height of the configuration block is 16 for all tile +types, but the width is different for each tile type. IO tiles have +configurations that are 18 bits wide, LOGIC tiles are 54 bits wide, and +RAM tiles are 42 bits wide. (Notice the two slightly smaller columns for the RAM tiles.) +</p> + +<p> +The IO tiles on the top and bottom of the chip use a strange permutation pattern for their bits. It can be seen in the picture that +their columns are spread out horizontally. What cannot be seen in the picture is the columns also are not in order and the bit +positions are vertically permutated as well. The <tt>CramIndexConverter</tt> class in <tt>icepack.cc</tt> encapsulates the calculations +that are neccessary to convert between tile-relative bit addresses and CRAM bank-relative bit addresses. +</p> + +<p> +The black pixels in the image correspond to CRAM bits that are not assoziated with any IO, LOGIC or RAM tile. +Some of them are unused, others are used by hard IPs or other global resources. The <tt>iceunpack</tt> tool reports +such bits, when set, with the "<tt>.extra_bit <i>bank x y</i></tt>" statement in the ASCII output format. +</p> + +<h2>Organization of the BRAM</h2> + +<p> +This part of the documentation has not been written yet. +</p> + +<h2>CRC Check</h2> + +<p> +The CRC is a 16 bit CRC. The (truncated) polynomial is 0x1021 (CRC-16-CCITT). The "Reset CRC" command sets +the CRC to 0xFFFF. No zero padding is performed. +</p> + diff --git a/docs/index.html b/docs/index.html new file mode 100644 index 0000000..dbd8ba6 --- /dev/null +++ b/docs/index.html @@ -0,0 +1,321 @@ +<title>Project IceStorm</title> +<h1>Project IceStorm</h1> + +<p> +<b>2015-05-27:</b> We have a working fully Open Source flow with <a href="http://www.clifford.at/yosys/">Yosys</a> and <a href="https://github.com/cseed/arachne-pnr">Arachne-pnr</a>! Video: <a href="http://youtu.be/yUiNlmvVOq8">http://youtu.be/yUiNlmvVOq8</a><br/> +<b>2015-04-13:</b> Complete rewrite of IceUnpack, added IcePack, some major documentation updates<br/> +<b>2015-03-22:</b> First public release and short YouTube video demonstrating our work: <a href="http://youtu.be/u1ZHcSNDQMM">http://youtu.be/u1ZHcSNDQMM</a> +</p> + +<h2>What is Project IceStorm?</h2> + +<p> +Project IceStorm aims at documenting the bitstream format of Lattice iCE40 +FPGAs and providing simple tools for analyzing and creating bitstream files. +At the moment the focus of the project is on the HX1K-TQ144 device, but +most of the information is device-independent. +</p> + +<h2>Why the Lattice iCE40?</h2> + +<p> +It has a very minimalistic architecture with a very regular structure. There are not many +different kinds of tiles or special function units. This makes it both ideal for +reverse engineering and as a reference platform for general purpose FPGA tool development. +</p> + +<p> +Also, with the <a href="http://www.latticesemi.com/icestick">iCEstick</a> there is +a cheap and easy to use development platform available, which makes the part interesting +for all kinds of projects. +</p> + +<h2>What is the Status of the Project?</h2> + +<p> +We have enough bits mapped that we can create a functional verilog model for almost all +bitstreams generated by Lattice iCEcube2 for the iCE40 HX1K-TQ144, as long as no +block memories or PLLs are used. (Both are fully documented, but the +<tt>icebox_vlog.py</tt> script does not create verilog models for them yet.) +</p> + +<p> +Next on the TODO list: PLLs, Timing Analysis, support for HX8K chips. +</p> + +<h2>What is the Status of the Fully Open Source iCE40 Flow?</h2> + +<p> +Synthesis for iCE40 FPGAs can be done with <a href="http://www.clifford.at/yosys/">Yosys</a>. +Place-and-route can be done with <a href="https://github.com/cseed/arachne-pnr">arachne-pnr</a>. +Here is an example script for implementing and programming the <a +href="https://github.com/cseed/arachne-pnr/tree/master/examples/rot">rot example from +arachne-pnr</a> (this example targets the iCEstick development board): +</p> + +<pre style="padding-left: 3em">yosys -p "synth_ice40 -blif rot.blif" rot.v +arachne-pnr -d 1k -p rot.pcf rot.blif -o rot.txt +icepack rot.txt rot.bin +iceprog rot.bin</pre> + +<h2>Where are the Tools?</h2> + +<p> +Here is the current snapshot of our toolchain: <a href="icestorm-snapshot-150526.zip">icestorm-snapshot-150526.zip</a><br/> +<i>This is work under construction and highly experimental! Use at your own risk!</i> +</p> + +<p style="margin-bottom: 0.5em;"> +All snapshots in reverse chronological order: +</p> + +<ul style="margin-top: 0.5em;"> +<li><a href="icestorm-snapshot-150526.zip">icestorm-snapshot-150526.zip</a></li> +<li><a href="icestorm-snapshot-150413.zip">icestorm-snapshot-150413.zip</a></li> +<li><a href="icestorm-snapshot-150401.zip">icestorm-snapshot-150401.zip</a></li> +<li><a href="icestorm-snapshot-150322.zip">icestorm-snapshot-150322.zip</a></li> +</ul> + +<h3>IcePack/IceUnpack</h3> + +<p> +The <tt>iceunpack</tt> program converts an iCE40 <tt>.bin</tt> file into the IceBox ASCII format +that has blocks of <tt>0</tt> and <tt>1</tt> for the config bits for each tile in the chip. The +<tt>icepack</tt> program converts such an ASCII file back to an iCE40 <tt>.bin</tt> file. +</p> + +<h3>IceBox</h3> + +<p> +A python library and various tools for working with IceBox ASCII files and accessing +the device database. For example <tt>icebox_vlog.py</tt> converts our ASCII file +dump of a bitstream into a verilog file that implements an equivalent circuit. +</p> + +<h3>IceProg</h3> + +<p> +A small driver programm for the FTDI-based programmer used on the iCEstick and HX8K development boards. +</p> + +<p> +<i>The tools are written by Clifford Wolf. IcePack/IceUnpack is based on a reference implementation provided by Mathias Lasser.</i> +</p> + +<h2>Where is the Documentation?</h2> + +<p> +Recommended reading: +<a href="http://www.latticesemi.com/~/media/LatticeSemi/Documents/DataSheets/iCE/iCE40LPHXFamilyDataSheet.pdf">Lattice iCE40 LP/HX Family Datasheet</a>, +<a href="http://www.latticesemi.com/~/media/LatticeSemi/Documents/TechnicalBriefs/SBTICETechnologyLibrary201412.pdf">Lattice iCE Technology Library</a> +(Especially the three pages on "Architecture Overview", "PLB Blocks", "Routing", and "Clock/Control Distribution Network" in +the Lattice iCE40 LP/HX Family Datasheet. Read that first, then come back here.) +</p> + +<p> +The FPGA fabric is divided into tiles. There are IO, RAM and LOGIC tiles. +</p> + +<ul> +<li><a href="logic_tile.html">LOGIC Tile Documentation</a></li> +<li><a href="io_tile.html">IO Tile Documentation</a></li> +<li><a href="ram_tile.html">RAM Tile Documentation</a></li> +<li><a href="format.html">The Bitstream File Format</a></li> +<li><a href="bitdocs/">Tile Bits Reference Docs</a></li> +</ul> + +<p> +The <tt>iceunpack</tt> program can be used to convert the bitstream into an ASCII file +that has a block of <tt>0</tt> and <tt>1</tt> characters for each tile. For example: +</p> + +<pre style="padding-left: 3em">.logic_tile 12 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000001000010101010000000000 +000000000000000000000000000101010000101010100000000000</pre> + +<p> +This bits are referred to as <tt>B<i>y</i>[<i>x</i>]</tt> in the documentation. For example, <tt>B0</tt> is the first +line, <tt>B0[0]</tt> the first bit in the first line, and <tt>B15[53]</tt> the last bit in the last line. +</p> + +<p> +The <tt>icebox_explain.py</tt> program can be used to turn this block of config bits into a description of the cell +configuration: +</p> + +<pre style="padding-left: 3em">.logic_tile 12 12 +LC_7 0101010110101010 0000 +buffer local_g0_2 lutff_7/in_3 +buffer local_g1_4 lutff_7/in_0 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_h_r_20 local_g1_4</pre> + +<p> +IceBox contains a database of the wires and configuration bits that can be found in iCE40 tiles. This database can be accessed +via the IceBox Python API. But IceBox is a large hack. So it is recommended to only use the IceBox API +to export this database into a format that fits the target application. See <tt>icebox_chipdb.py</tt> for +an example program that does that. +</p> + +<p> +The recommended approach for learning how to use this documentation is to synthesize very simple circuits using +Lattice iCEcube2, run our toolchain on the resulting bitstream files, and analyze the results using the HTML export of the database +mentioned above. <tt>icebox_vlog.py</tt> can be used to convert the bitstream to verilog. The output file of +this tool will also outline the signal paths in comments added to the generated verilog. +</p> + +<p> +For example, using the <tt>top_bitmap.bin</tt> from the following Verilog and PCF files: +</p> + +<pre style="padding-left: 3em">module top (input a, b, output y); + assign y = a & b; +endmodule + +set_io a 1 +set_io b 10 +set_io y 11</pre> + +<p> +We would get something like the following <tt>icebox_explain.py</tt> output: +</p> + +<pre style="padding-left: 3em">$ iceunpack top_bitmap.bin top_bitmap.txt +$ icebox_explain top_bitmap.txt +Reading file 'top_bitmap.txt'.. +Fabric size (without IO tiles): 12 x 16 + +.io_tile 0 10 +IOB_1 PINTYPE_0 +IOB_1 PINTYPE_3 +IOB_1 PINTYPE_4 +IoCtrl IE_0 +IoCtrl IE_1 +IoCtrl REN_0 +buffer local_g1_2 io_1/D_OUT_0 +buffer logic_op_tnr_2 local_g1_2 + +.io_tile 0 14 +IOB_1 PINTYPE_0 +IoCtrl IE_1 +IoCtrl REN_0 +buffer io_1/D_IN_0 span4_horz_28 + +.io_tile 0 11 +IOB_0 PINTYPE_0 +IoCtrl IE_0 +IoCtrl REN_1 + +.logic_tile 1 11 +LC_2 0000000001010101 0000 +buffer local_g1_4 lutff_2/in_3 +buffer local_g3_1 lutff_2/in_0 +buffer neigh_op_lft_4 local_g1_4 +buffer sp4_r_v_b_41 local_g3_1 + +.logic_tile 2 14 +routing sp4_h_l_41 sp4_v_b_4</pre> + +<p> +And something like the following <tt>icebox_vlog.py</tt> output: +</p> + +<pre style="padding-left: 3em">$ icebox_vlog top_bitmap.txt +// Reading file 'top_bitmap.txt'.. + +module chip (output io_0_10_1, input io_0_11_0, input io_0_14_1); + +wire io_0_10_1; +// io_0_10_1 +// (0, 10, 'io_1/D_OUT_0') +// (0, 10, 'io_1/PAD') +// (0, 10, 'local_g1_2') +// (0, 10, 'logic_op_tnr_2') +// (0, 11, 'logic_op_rgt_2') +// (0, 12, 'logic_op_bnr_2') +// (1, 10, 'neigh_op_top_2') +// (1, 11, 'lutff_2/out') +// (1, 12, 'neigh_op_bot_2') +// (2, 10, 'neigh_op_tnl_2') +// (2, 11, 'neigh_op_lft_2') +// (2, 12, 'neigh_op_bnl_2') + +wire io_0_11_0; +// io_0_11_0 +// (0, 11, 'io_0/D_IN_0') +// (0, 11, 'io_0/PAD') +// (1, 10, 'neigh_op_tnl_0') +// (1, 10, 'neigh_op_tnl_4') +// (1, 11, 'local_g1_4') +// (1, 11, 'lutff_2/in_3') +// (1, 11, 'neigh_op_lft_0') +// (1, 11, 'neigh_op_lft_4') +// (1, 12, 'neigh_op_bnl_0') +// (1, 12, 'neigh_op_bnl_4') + +wire io_0_14_1; +// io_0_14_1 +// (0, 14, 'io_1/D_IN_0') +// (0, 14, 'io_1/PAD') +// (0, 14, 'span4_horz_28') +// (1, 11, 'local_g3_1') +// (1, 11, 'lutff_2/in_0') +// (1, 11, 'sp4_r_v_b_41') +// (1, 12, 'sp4_r_v_b_28') +// (1, 13, 'neigh_op_tnl_2') +// (1, 13, 'neigh_op_tnl_6') +// (1, 13, 'sp4_r_v_b_17') +// (1, 14, 'neigh_op_lft_2') +// (1, 14, 'neigh_op_lft_6') +// (1, 14, 'sp4_h_r_41') +// (1, 14, 'sp4_r_v_b_4') +// (1, 15, 'neigh_op_bnl_2') +// (1, 15, 'neigh_op_bnl_6') +// (2, 10, 'sp4_v_t_41') +// (2, 11, 'sp4_v_b_41') +// (2, 12, 'sp4_v_b_28') +// (2, 13, 'sp4_v_b_17') +// (2, 14, 'sp4_h_l_41') +// (2, 14, 'sp4_v_b_4') + +assign io_0_10_1 = /* LUT 1 11 2 */ io_0_11_0 ? io_0_14_1 : 0; + +endmodule</pre> + +<p> +<hr> +</p> + +<p> +In papers and reports, please refer to Project IceStorm as follows: Clifford Wolf, Mathias Lasser. Project IceStorm. http://www.clifford.at/icestorm/, +e.g. using the following BibTeX code: +</p> + +<pre>@MISC{IceStorm, + author = {Clifford Wolf and Mathias Lasser}, + title = {Project IceStorm}, + howpublished = "\url{http://www.clifford.at/icestorm/}" +}</pre> + +<p> +<hr> +</p> + +<p> +<i>Documentation mostly by Clifford Wolf <clifford@clifford.at> in 2015. Based on research by Mathias Lasser and Clifford Wolf.<br/> +Buy an <a href="http://www.latticesemi.com/icestick">iCEstick</a> from Lattice and see what you can do with the information provided here. Buy a few because you might break some..</i> +</p> diff --git a/docs/io_tile.html b/docs/io_tile.html new file mode 100644 index 0000000..0324ac8 --- /dev/null +++ b/docs/io_tile.html @@ -0,0 +1,496 @@ +<title>Project IceStorm – IO Tile Documentation</title> +<h1>Project IceStorm – IO Tile Documentation</h1> + +<p> +<i><a href=".">Project IceStorm</a> aims at documenting the bitstream format of Lattice iCE40 +FPGAs and providing simple tools for analyzing and creating bitstream files. +This is work in progress.</i> +</p> + +<h2>Span-4 and Span-12 Wires</h2> + +<p><a href="iosp.svg"><img style="float:right; padding:1em; padding-top:0" height="200" src="iosp.svg" border="0"></a></p> + +<p> +The image on the right shows the span-wires of a left (or right) io cell (click to enlarge). +</p> + +<p> +A left/right io cell has 16 connections named <tt>span4_vert_t_0</tt> to <tt>span4_vert_t_15</tt> on its top edge and +16 connections named <tt>span4_vert_b_0</tt> to <tt>span4_vert_b_15</tt> on its bottom edge. The nets <tt>span4_vert_t_0</tt> +to <tt>span4_vert_t_11</tt> are connected to <tt>span4_vert_b_4</tt> to <tt>span4_vert_b_15</tt>. The span-4 and span-12 wires +of the adjacent logic cell are connected to the nets <tt>span4_horz_0</tt> to <tt>span4_horz_47</tt> and <tt>span12_horz_0</tt> +to <tt>span12_horz_23</tt>. +</p> + +<p> +A top/bottom io cell has 16 connections named <tt>span4_vert_l_0</tt> to <tt>span4_vert_l_15</tt> on its top edge and +16 connections named <tt>span4_vert_r_0</tt> to <tt>span4_vert_r_15</tt> on its bottom edge. The nets <tt>span4_vert_l_0</tt> +to <tt>span4_vert_l_11</tt> are connected to <tt>span4_vert_r_4</tt> to <tt>span4_vert_r_15</tt>. The span-4 and span-12 wires +of the adjacent logic cell are connected to the nets <tt>span4_vert_0</tt> to <tt>span4_vert_47</tt> and <tt>span12_vert_0</tt> +to <tt>span12_vert_23</tt>. +</p> + +<p> +The vertical span4 wires of left/right io cells are connected "around the corner" to the horizontal span4 wires of the top/bottom +io cells. For example <tt>span4_vert_b_0</tt> of IO cell (0 1) is connected to <tt>span4_horz_l_0</tt> (<tt>span4_horz_r_4</tt>) +of IO cell (1 0). +</p> + +<p> +Note that unlike the span-wires connection LOGIC and RAM tiles, the span-wires +connecting IO tiles to each other are not pairwised crossed out. +</p> + +<h2>IO Blocks</h2> + +<p> +Each IO tile contains two IO blocks. Each IO block essentially implements the <tt>SB_IO</tt> +primitive from the <a href="http://www.latticesemi.com/~/media/Documents/TechnicalBriefs/iCETechnologyLibrary.PDF">Lattice iCE Technology Library</a>. +Some inputs are shared between the two IO blocks. The following table lists how the +wires in the logic tile map to the <tt>SB_IO</tt> primitive ports: +</p> + +<p align="center"> +<table cellpadding="3" border> +<tr><th>SB_IO Port</th><th>IO Block 0</th><th>IO Block 1</th></tr> +<tr><td>D_IN_0</td><td><tt>io_0/D_IN_0</tt></td><td><tt>io_1/D_IN_0</tt></td></tr> +<tr><td>D_IN_1</td><td><tt>io_0/D_IN_1</tt></td><td><tt>io_1/D_IN_1</tt></td></tr> +<tr><td>D_OUT_0</td><td><tt>io_0/D_OUT_0</tt></td><td><tt>io_1/D_OUT_0</tt></td></tr> +<tr><td>D_OUT_1</td><td><tt>io_0/D_OUT_1</tt></td><td><tt>io_1/D_OUT_1</tt></td></tr> +<tr><td>OUTPUT_ENABLE</td><td><tt>io_0/OUT_ENB</tt></td><td><tt>io_1/OUT_ENB</tt></td></tr> +<tr><td>CLOCK_ENABLE</td><td colspan="2"><tt>io_global/cen</tt></td></tr> +<tr><td>INPUT_CLK</td><td colspan="2"><tt>io_global/inclk</tt></td></tr> +<tr><td>OUTPUT_CLK</td><td colspan="2"><tt>io_global/outclk</tt></td></tr> +<tr><td>LATCH_INPUT_VALUE</td><td colspan="2"><tt>io_global/latch</tt></td></tr> +</table> +</p> + +<p> +Like the inputs to logic cells, the inputs to IO blocks are routed to the IO block via a two-stage process. A signal +is first routed to one of 16 local tracks in the IO tile and then from the local track to the IO block. +</p> + +<p> +The <tt>io_global/latch</tt> signal is shared among all IO tiles on an edge of the chip and is driven by <tt>wire_gbuf/in</tt> +from one dedicated IO tile on that edge. For the HX1K chips the tiles driving the <tt>io_global/latch</tt> signal are: +(0, 7), (13, 10), (5, 0), and (8, 17) +</p> + +<p> +A logic tile sends the output of its eight logic cells to its neighbour tiles. An IO tile does the same thing with the four <tt>D_IN</tt> +signals created by its two IO blocks. The <tt>D_IN</tt> signals map to logic function indices as follows: +</p> + +<p align="center"> +<table cellpadding="3" border> +<tr><th>Function Index</th><th>D_IN Wire</th></tr> +<tr><td>0</td><td><tt>io_0/D_IN_0</tt></td></tr> +<tr><td>1</td><td><tt>io_0/D_IN_1</tt></td></tr> +<tr><td>2</td><td><tt>io_1/D_IN_0</tt></td></tr> +<tr><td>3</td><td><tt>io_1/D_IN_1</tt></td></tr> +<tr><td>4</td><td><tt>io_0/D_IN_0</tt></td></tr> +<tr><td>5</td><td><tt>io_0/D_IN_1</tt></td></tr> +<tr><td>6</td><td><tt>io_1/D_IN_0</tt></td></tr> +<tr><td>7</td><td><tt>io_1/D_IN_1</tt></td></tr> +</table> +</p> + +<p> +For example the signal <tt>io_1/D_IN_0</tt> in IO tile (0, 5) can be seen as <tt>neigh_op_lft_2</tt> and <tt>neigh_op_lft_6</tt> in LOGIC tile (1, 5). +</p> + +<p> +Each IO Tile has 2 <tt>NegClk</tt> configuration bits, suggesting that the +clock signals can be inverted independently for the the two IO blocks in the +tile. However, the Lattice tools refuse to pack to IO blocks with different block +polarity into the same IO tile. In our tests we only managed to either set or clear +both NegClk bits. +</p> + +<p> +Each IO block has two <tt>IoCtrl IE</tt> bits that enable the input buffers and +two <tt>IoCtrl REN</tt> bits that enable the pull up resistors. Both bits are active +low, i.e. an unused IO tile will have both IE bits set and both REN bits cleared (the +default behavior is to enable pullup resistors on all unused pins). Note that +<tt>icebox_explain.py</tt> will ignore all IO tiles that only have the two <tt>IoCtrl +IE</tt> bits set. +</p> + +<p> +However, the <tt>IoCtrl IE_0/IE_1</tt> and <tt>IoCtrl REN_0/REN_1</tt> do not +necessarily configure the IO PIN that are connected to the IO block in the same tile, +and if they do the numbers (0/1) do not necessarily match. As a general rule, the pins +on the right and bottom side of the chips match up with the IO blocks and for the pins +on the left and top side the numbers must be swapped. But in some cases the IO block +and the set of <tt>IE/REN</tt> are not even located in the same tile. The following +table lists the correlation between IO blocks and <tt>IE/REN</tt> bits for the +1K chip: +</p> + +<p align="center"> +<table cellpadding="10"> +<tr><td valign="top"> + +<table cellpadding="3" border> +<tr><th>IO Block</th><th>IE/REN Block</th></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">0 14 1</td><td align="center">0 14 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">0 14 0</td><td align="center">0 14 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">0 13 1</td><td align="center">0 13 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">0 13 0</td><td align="center">0 13 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">0 12 1</td><td align="center">0 12 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">0 12 0</td><td align="center">0 12 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">0 11 1</td><td align="center">0 11 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">0 11 0</td><td align="center">0 11 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">0 10 1</td><td align="center">0 10 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">0 10 0</td><td align="center">0 10 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">0 9 1</td><td align="center">0 9 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">0 9 0</td><td align="center">0 9 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">0 8 1</td><td align="center">0 8 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">0 8 0</td><td align="center">0 8 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">0 6 1</td><td align="center">0 6 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">0 6 0</td><td align="center">0 6 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">0 5 1</td><td align="center">0 5 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">0 5 0</td><td align="center">0 5 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">0 4 1</td><td align="center">0 4 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">0 4 0</td><td align="center">0 4 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">0 3 1</td><td align="center">0 3 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">0 3 0</td><td align="center">0 3 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">0 2 1</td><td align="center">0 2 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">0 2 0</td><td align="center">0 2 1</td></tr> +</table> + +</td><td valign="top"> + +<table cellpadding="3" border> +<tr><th>IO Block</th><th>IE/REN Block</th></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 1 0 0</td><td align="center"> 1 0 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 1 0 1</td><td align="center"> 1 0 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 2 0 0</td><td align="center"> 2 0 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 2 0 1</td><td align="center"> 2 0 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 3 0 0</td><td align="center"> 3 0 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 3 0 1</td><td align="center"> 3 0 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 4 0 0</td><td align="center"> 4 0 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 4 0 1</td><td align="center"> 4 0 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 5 0 0</td><td align="center"> 5 0 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 5 0 1</td><td align="center"> 5 0 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 6 0 1</td><td align="center"> 6 0 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 7 0 0</td><td align="center"> 6 0 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 6 0 0</td><td align="center"> 7 0 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 7 0 1</td><td align="center"> 7 0 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 8 0 0</td><td align="center"> 8 0 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 8 0 1</td><td align="center"> 8 0 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 9 0 0</td><td align="center"> 9 0 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 9 0 1</td><td align="center"> 9 0 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">10 0 0</td><td align="center">10 0 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">10 0 1</td><td align="center">10 0 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">11 0 0</td><td align="center">11 0 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">11 0 1</td><td align="center">11 0 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">12 0 0</td><td align="center">12 0 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">12 0 1</td><td align="center">12 0 1</td></tr> +</table> + +</td><td valign="top"> + +<table cellpadding="3" border> +<tr><th>IO Block</th><th>IE/REN Block</th></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">13 1 0</td><td align="center">13 1 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">13 1 1</td><td align="center">13 1 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">13 2 0</td><td align="center">13 2 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">13 2 1</td><td align="center">13 2 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">13 3 1</td><td align="center">13 3 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">13 4 0</td><td align="center">13 4 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">13 4 1</td><td align="center">13 4 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">13 6 0</td><td align="center">13 6 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">13 6 1</td><td align="center">13 6 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">13 7 0</td><td align="center">13 7 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">13 7 1</td><td align="center">13 7 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">13 8 0</td><td align="center">13 8 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">13 8 1</td><td align="center">13 8 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">13 9 0</td><td align="center">13 9 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">13 9 1</td><td align="center">13 9 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">13 11 0</td><td align="center">13 10 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">13 11 1</td><td align="center">13 10 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">13 12 0</td><td align="center">13 11 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">13 12 1</td><td align="center">13 11 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">13 13 0</td><td align="center">13 13 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">13 13 1</td><td align="center">13 13 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">13 14 0</td><td align="center">13 14 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">13 14 1</td><td align="center">13 14 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">13 15 0</td><td align="center">13 15 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">13 15 1</td><td align="center">13 15 1</td></tr> +</table> + +</td><td valign="top"> + +<table cellpadding="3" border> +<tr><th>IO Block</th><th>IE/REN Block</th></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">12 17 1</td><td align="center">12 17 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">12 17 0</td><td align="center">12 17 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">11 17 1</td><td align="center">11 17 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">11 17 0</td><td align="center">11 17 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">10 17 1</td><td align="center"> 9 17 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">10 17 0</td><td align="center"> 9 17 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 9 17 1</td><td align="center">10 17 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 9 17 0</td><td align="center">10 17 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 8 17 1</td><td align="center"> 8 17 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 8 17 0</td><td align="center"> 8 17 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 7 17 1</td><td align="center"> 7 17 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 7 17 0</td><td align="center"> 7 17 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 6 17 1</td><td align="center"> 6 17 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 5 17 1</td><td align="center"> 5 17 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 5 17 0</td><td align="center"> 5 17 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 4 17 1</td><td align="center"> 4 17 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 4 17 0</td><td align="center"> 4 17 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 3 17 1</td><td align="center"> 3 17 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 3 17 0</td><td align="center"> 3 17 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 2 17 1</td><td align="center"> 2 17 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 2 17 0</td><td align="center"> 2 17 0</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 1 17 1</td><td align="center"> 1 17 1</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center"> 1 17 0</td><td align="center"> 1 17 0</td></tr> +</table> + +</table> +</p> + +<p> +When an input pin pair is used as LVDS pair (IO standard +<tt>SB_LVDS_INPUT</tt>, bank 3 / left edge only), then the four bits +<tt>IoCtrl IE_0/IE_1</tt> and <tt>IoCtrl REN_0/REN_1</tt> are all set, as well +as the <tt>IoCtrl LVDS</tt> bit. +</p> + +<p> +In the iCE 8k devices the <tt>IoCtrl IE</tt> bits are active high. So an unused +IO tile on an 8k chip has all bits cleared. +</p> + +<h2>Global Nets</h2> + +<p> +iCE40 FPGAs have 8 global nets. Each global net can be driven directly from an +IO pin. In the FPGA bitstream, routing of external signals to global nets is +not controlled by bits in the IO tile. Instead bits that do not belong to any +tile are used. In IceBox nomenclature such bits are called "extra bits". +</p> + +<p> +The following table lists which pins / IO blocks may be used to drive +which global net, and what <tt>.extra</tt> statements in the IceBox ASCII file +format to represent the corresponding configuration bits: +</p> + + +<p align="center"> +<table cellpadding="3" border> +<tr><th>Glb Net</th><th>Pin<br/>(HX1K-TQ144)</th><th>IO Tile +<br/>Block #</th><th>IceBox Statement</th></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">0</td><td align="center"> 93</td><td align="center">13 8 1</td><td align="center">.extra_bit 0 330 142</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">1</td><td align="center"> 21</td><td align="center"> 0 8 1</td><td align="center">.extra_bit 0 331 142</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">2</td><td align="center">128</td><td align="center"> 7 17 0</td><td align="center">.extra_bit 1 330 143</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">3</td><td align="center"> 50</td><td align="center"> 7 0 0</td><td align="center">.extra_bit 1 331 143</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">4</td><td align="center"> 20</td><td align="center"> 0 9 0</td><td align="center">.extra_bit 1 330 142</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">5</td><td align="center"> 94</td><td align="center">13 9 0</td><td align="center">.extra_bit 1 331 142</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">6</td><td align="center"> 49</td><td align="center"> 6 0 1</td><td align="center">.extra_bit 0 330 143</td></tr> +<tr style="white-space: pre; font-family: monospace"><td align="center">7</td><td align="center">129</td><td align="center"> 6 17 1</td><td align="center">.extra_bit 0 331 143</td></tr> +</table> +</p> + +<p> +Signals internal to the FPGA can also be routed to the global nets. This is done by routing the signal +to the <tt>wire_gbuf/in</tt> net on an IO tile. The same set of I/O tiles is used for this, but in this +case each of the I/O tiles corresponds to a different global net: +</p> + +<p align="center"> +<table cellpadding="3" border> +<tr><th>Glb Net</th> +<td align="center">0</td> +<td align="center">1</td> +<td align="center">2</td> +<td align="center">3</td> +<td align="center">4</td> +<td align="center">5</td> +<td align="center">6</td> +<td align="center">7</td></tr> +<tr><th>IO Tile</th> +<td style="white-space: pre; font-family: monospace" align="center"> 7 0</td> +<td style="white-space: pre; font-family: monospace" align="center"> 7 17</td> +<td style="white-space: pre; font-family: monospace" align="center">13 9</td> +<td style="white-space: pre; font-family: monospace" align="center"> 0 9</td> +<td style="white-space: pre; font-family: monospace" align="center"> 6 17</td> +<td style="white-space: pre; font-family: monospace" align="center"> 6 0</td> +<td style="white-space: pre; font-family: monospace" align="center"> 0 8</td> +<td style="white-space: pre; font-family: monospace" align="center">13 8</td></tr> +</table> +</p> + +<p><a href="colbuf.svg"><img style="float:right; padding:1em; padding-top:0" height="200" src="colbuf.svg" border="0"></a></p> + +<h3>Column Buffer Control Bits</h3> + +<p> +Each LOGIC, IO, and RAMB tile has 8 ColBufCtrl bits, one for each global net. In most tiles this +bits have no function, but in tiles in rows 4, 5, 12, and 13 (for RAM columns: rows 3, 5, 11, and 13) this bits +control which global nets are driven to the column of tiles below and/or above that tile (including that tile), +as illustrated in the image to the right (click to enlarge). +</p> + +<p> +In 8k chips the rows 8, 9, 24, and 25 contain the column buffers. 8k RAMB and +RAMT tiles can control column buffers, so the pattern looks the same for RAM, LOGIC, and +IO columns. +</p> + +<h2>Warmboot</h2> + +<p> +The <tt>SB_WARMBOOT</tt> primitive in iCE40 FPGAs has three inputs and no outputs. The three inputs of that cell +are driven by the <tt>wire_gbuf/in</tt> signal from three IO tiles. In HX1K chips the tiles connected to the +<tt>SB_WARMBOOT</tt> primitive are: +</p> + +<p align="center"> +<table cellpadding="3" border> +<tr><th>Warmboot Pin</th><th>IO Tile</th></tr> +<tr><td>BOOT</td><td><tt>12 0</tt></td></tr> +<tr><td>S0</td><td><tt>13 1</tt></td></tr> +<tr><td>S1</td><td><tt>13 2</tt></td></tr> +</table> +</p> + +<h2>PLL Cores</h2> + +<p> +The PLL primitives in iCE40 FPGAs are configured using the <tt>PLLCONFIG_*</tt> +bits in the IO tiles. The configuration for a single PLL cell is spread out +over many IO tiles. For example, the PLL cell in the 1K chip are configured as +follows (bits listed from LSB to MSB): +</p> + +<p align="center"> +<table cellpadding="10"><tr><td valign="top"> + +<table cellpadding="3" border> +<tr><th>IO Tile</th><th>Config Bit</th><th>SB_PLL40_* Parameter</th></tr> + +<tr><td>0 3</td><td><tt>PLLCONFIG_5</tt></td><td rowspan="3">Select PLL Type:<br/> +000 = DISABLED<br/> +010 = SB_PLL40_PAD<br/> +100 = SB_PLL40_2_PAD<br/> +110 = SB_PLL40_2F_PAD<br/> +011 = SB_PLL40_CORE<br/> +111 = SB_PLL40_2F_CORE</td></tr> +<tr><td>0 5</td><td><tt>PLLCONFIG_1</tt></td></tr> +<tr><td>0 5</td><td><tt>PLLCONFIG_3</tt></td></tr> + +<tr><td>0 5</td><td><tt>PLLCONFIG_5</tt></td><td rowspan="3"><tt>FEEDBACK_PATH</tt><br/> +000 = "DELAY"<br/> +001 = "SIMPLE"<br/> +010 = "PHASE_AND_DELAY"<br/> +110 = "EXTERNAL"</td></tr> +<tr><td>0 2</td><td><tt>PLLCONFIG_9</tt></td></tr> +<tr><td>0 3</td><td><tt>PLLCONFIG_1</tt></td></tr> + +<tr><td>0 4</td><td><tt>PLLCONFIG_4</tt></td><td rowspan="1"><tt>DELAY_ADJUSTMENT_MODE_FEEDBACK</tt><br/> +0 = "FIXED"<br/> +1 = "DYNAMIC"</td></tr> + +<tr><td>0 4</td><td><tt>PLLCONFIG_9</tt></td><td rowspan="1"><tt>DELAY_ADJUSTMENT_MODE_RELATIVE</tt><br/> +0 = "FIXED"<br/> +1 = "DYNAMIC"</td></tr> + +<tr><td>0 3</td><td><tt>PLLCONFIG_6</tt></td><td rowspan="2"><tt>PLLOUT_SELECT<br/>PLLOUT_SELECT_PORTA</tt><br/> +00 = "GENCLK"<br/> +01 = "GENCLK_HALF"<br/> +10 = "SHIFTREG_90deg"<br/> +11 = "SHIFTREG_0deg"</td></tr> +<tr><td>0 3</td><td><tt>PLLCONFIG_7</tt></td></tr> + +<tr><td>0 3</td><td><tt>PLLCONFIG_2</tt></td><td rowspan="2"><tt>PLLOUT_SELECT_PORTB</tt><br/> +00 = "GENCLK"<br/> +01 = "GENCLK_HALF"<br/> +10 = "SHIFTREG_90deg"<br/> +11 = "SHIFTREG_0deg"</td></tr> +<tr><td>0 3</td><td><tt>PLLCONFIG_3</tt></td></tr> + +<tr><td>0 3</td><td><tt>PLLCONFIG_4</tt></td><td rowspan="1"><tt>SHIFTREG_DIV_MODE</tt></td></tr> + +<tr><td>0 3</td><td><tt>PLLCONFIG_8</tt></td><td rowspan="1"><tt>TEST_MODE</tt></td></tr> + +</table></td><td valign="top"> + +<table cellpadding="3" border> +<tr><th>IO Tile</th><th>Config Bit</th><th>SB_PLL40_* Parameter</th></tr> + +<tr><td>0 3</td><td><tt>PLLCONFIG_9</tt></td><td rowspan="4"><tt>FDA_FEEDBACK</tt></td></tr> +<tr><td>0 4</td><td><tt>PLLCONFIG_1</tt></td></tr> +<tr><td>0 4</td><td><tt>PLLCONFIG_2</tt></td></tr> +<tr><td>0 4</td><td><tt>PLLCONFIG_3</tt></td></tr> + +<tr><td>0 5</td><td><tt>PLLCONFIG_5</tt></td><td rowspan="4"><tt>FDA_RELATIVE</tt></td></tr> +<tr><td>0 4</td><td><tt>PLLCONFIG_6</tt></td></tr> +<tr><td>0 4</td><td><tt>PLLCONFIG_7</tt></td></tr> +<tr><td>0 4</td><td><tt>PLLCONFIG_8</tt></td></tr> + +<tr><td>0 1</td><td><tt>PLLCONFIG_1</tt></td><td rowspan="4"><tt>DIVR</tt></td></tr> +<tr><td>0 1</td><td><tt>PLLCONFIG_2</tt></td></tr> +<tr><td>0 1</td><td><tt>PLLCONFIG_3</tt></td></tr> +<tr><td>0 1</td><td><tt>PLLCONFIG_4</tt></td></tr> + +<tr><td>0 1</td><td><tt>PLLCONFIG_5</tt></td><td rowspan="7"><tt>DIVF</tt></td></tr> +<tr><td>0 1</td><td><tt>PLLCONFIG_6</tt></td></tr> +<tr><td>0 1</td><td><tt>PLLCONFIG_7</tt></td></tr> +<tr><td>0 1</td><td><tt>PLLCONFIG_8</tt></td></tr> +<tr><td>0 1</td><td><tt>PLLCONFIG_9</tt></td></tr> +<tr><td>0 2</td><td><tt>PLLCONFIG_1</tt></td></tr> +<tr><td>0 2</td><td><tt>PLLCONFIG_2</tt></td></tr> + +<tr><td>0 2</td><td><tt>PLLCONFIG_3</tt></td><td rowspan="3"><tt>DIVQ</tt></td></tr> +<tr><td>0 2</td><td><tt>PLLCONFIG_4</tt></td></tr> +<tr><td>0 2</td><td><tt>PLLCONFIG_5</tt></td></tr> + +<tr><td>0 2</td><td><tt>PLLCONFIG_6</tt></td><td rowspan="3"><tt>FILTER_RANGE</tt></td></tr> +<tr><td>0 2</td><td><tt>PLLCONFIG_7</tt></td></tr> +<tr><td>0 2</td><td><tt>PLLCONFIG_8</tt></td></tr> + +</table> +</table> +</p> + +<p> +The PLL inputs are routed to the PLL via the <tt>wire_gbuf/in</tt> signal from various IO tiles. The non-clock +PLL outputs are routed via otherwise unused <tt>neigh_op_*</tt> signals in fabric corners. For example in case +of the 1k chip: +</p> + +<p align="center"> +<table cellpadding="3" border> +<tr><th>Tile</th><th>Net-Segment</th><th>SB_PLL40_* Port Name</th></tr> +<tr><td>0 1</td><td><tt>wire_gbuf/in</tt></td><td rowspan="1"><tt>REFERENCECLK</tt></td></tr> +<tr><td>0 2</td><td><tt>wire_gbuf/in</tt></td><td rowspan="1"><tt>EXTFEEDBACK</tt></td></tr> +<tr><td>0 4</td><td><tt>wire_gbuf/in</tt></td><td rowspan="8"><tt>DYNAMICDELAY</tt></td></tr> +<tr><td>0 5</td><td><tt>wire_gbuf/in</tt></td></tr> +<tr><td>0 6</td><td><tt>wire_gbuf/in</tt></td></tr> +<tr><td>0 10</td><td><tt>wire_gbuf/in</tt></td></tr> +<tr><td>0 11</td><td><tt>wire_gbuf/in</tt></td></tr> +<tr><td>0 12</td><td><tt>wire_gbuf/in</tt></td></tr> +<tr><td>0 13</td><td><tt>wire_gbuf/in</tt></td></tr> +<tr><td>0 14</td><td><tt>wire_gbuf/in</tt></td></tr> +<tr><td>1 1</td><td><tt>neigh_op_bnl_1</tt></td><td rowspan="1"><tt>LOCK</tt></td></tr> +<tr><td>1 0</td><td><tt>wire_gbuf/in</tt></td><td rowspan="1"><tt>BYPASS</tt></td></tr> +<tr><td>2 0</td><td><tt>wire_gbuf/in</tt></td><td rowspan="1"><tt>RESETB</tt></td></tr> +<tr><td>5 0</td><td><tt>wire_gbuf/in</tt></td><td rowspan="1"><tt>LATCHINPUTVALUE</tt></td></tr> +<tr><td>12 1</td><td><tt>neigh_op_bnl_1</tt></td><td rowspan="1"><tt>SDO</tt></td></tr> +<tr><td>4 0</td><td><tt>wire_gbuf/in</tt></td><td rowspan="1"><tt>SDI</tt></td></tr> +<tr><td>5 0</td><td><tt>wire_gbuf/in</tt></td><td rowspan="1"><tt>SCLK</tt></td></tr> +</table> +</p> + +<p> +The PLL clock outputs are fed directly into the input path of certain IO tiles. +In case of the 1k chip the PORTA clock is fed into PIO 1 of IO Tile (6 0) and +the PORTB clock is fed into PIO 0 of IO Tile (7 0). Because of this, those two +PIOs can only be used as output Pins by the FPGA fabric when the PLL ports +are being used. +</p> + diff --git a/docs/iosp.svg b/docs/iosp.svg new file mode 100644 index 0000000..e7b130f --- /dev/null +++ b/docs/iosp.svg @@ -0,0 +1,1394 @@ +<?xml version="1.0" encoding="UTF-8" standalone="no"?> +<!-- Created with Inkscape (http://www.inkscape.org/) --> + +<svg + xmlns:dc="http://purl.org/dc/elements/1.1/" + xmlns:cc="http://creativecommons.org/ns#" + xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#" + xmlns:svg="http://www.w3.org/2000/svg" + xmlns="http://www.w3.org/2000/svg" + xmlns:xlink="http://www.w3.org/1999/xlink" + xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd" + xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape" + width="686.50507" + height="501" + id="svg2" + version="1.1" + inkscape:version="0.48.4 r9939" + sodipodi:docname="iosp.svg"> + <defs + id="defs4" /> + <sodipodi:namedview + id="base" + pagecolor="#ffffff" + bordercolor="#666666" + borderopacity="1.0" + inkscape:pageopacity="0.0" + inkscape:pageshadow="2" + inkscape:zoom="1.4" + inkscape:cx="346.55567" + inkscape:cy="278.13463" + inkscape:document-units="px" + inkscape:current-layer="layer1" + showgrid="true" + inkscape:window-width="1855" + inkscape:window-height="1056" + inkscape:window-x="65" + inkscape:window-y="24" + inkscape:window-maximized="1" + fit-margin-top="0" + fit-margin-left="0" + fit-margin-right="0" + fit-margin-bottom="0"> + <inkscape:grid + type="xygrid" + id="grid2985" + empspacing="5" + visible="true" + enabled="true" + snapvisiblegridlinesonly="true" + originx="-19.5px" + originy="-539.5px" /> + </sodipodi:namedview> + <metadata + id="metadata7"> + <rdf:RDF> + <cc:Work + rdf:about=""> + <dc:format>image/svg+xml</dc:format> + <dc:type + rdf:resource="http://purl.org/dc/dcmitype/StillImage" /> + <dc:title /> + </cc:Work> + </rdf:RDF> + </metadata> + <g + inkscape:label="Layer 1" + inkscape:groupmode="layer" + id="layer1" + transform="translate(-19.5,-11.862183)"> + <rect + style="fill:#b3b3b3;fill-opacity:0;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + id="rect2987" + width="670" + height="470" + x="20" + y="32.362183" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="M 75,12.362183 75,132.36218" + id="path2989" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + x="-112.36218" + y="70" + id="text3759" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + id="tspan3761" + x="-112.36218" + y="70">span4_vert_t_0</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3770" + d="m 100,12.362183 0,119.999997" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3772" + y="95" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="95" + x="-112.36218" + id="tspan3774" + sodipodi:role="line">span4_vert_t_1</tspan></text> + <g + transform="translate(50,0)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3792"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3824" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3826" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3828" + sodipodi:role="line">span4_vert_t_2</tspan></text> + </g> + <g + transform="translate(100,0)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3796"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3840" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3842" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3844" + sodipodi:role="line">span4_vert_t_4</tspan></text> + </g> + <g + transform="translate(125.00001,0)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3798"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3848" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3850" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3852" + sodipodi:role="line">span4_vert_t_5</tspan></text> + </g> + <g + transform="translate(150.00001,0)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3800"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3856" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3858" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3860" + sodipodi:role="line">span4_vert_t_6</tspan></text> + </g> + <g + transform="translate(175,0)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3802"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3864" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3866" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3868" + sodipodi:role="line">span4_vert_t_7</tspan></text> + </g> + <g + transform="translate(200.00001,0)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3804"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3872" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3874" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3876" + sodipodi:role="line">span4_vert_t_8</tspan></text> + </g> + <g + transform="translate(225,0)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3806"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3880" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3882" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3884" + sodipodi:role="line">span4_vert_t_9</tspan></text> + </g> + <g + transform="translate(250,0)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3808"> + <g + transform="translate(75.000002,0)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3794"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3832" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3834" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3836" + sodipodi:role="line">span4_vert_t_13</tspan></text> + </g> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3888" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3890" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3892" + sodipodi:role="line">span4_vert_t_10</tspan></text> + </g> + <g + transform="translate(275.00001,0)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3810"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3896" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3898" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3900" + sodipodi:role="line">span4_vert_t_11</tspan></text> + </g> + <g + transform="translate(300,0)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3812"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3904" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3906" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3908" + sodipodi:role="line">span4_vert_t_12</tspan></text> + </g> + <g + transform="translate(75,0)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3814"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3912" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3914" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + sodipodi:role="line" + id="tspan3306">span4_vert_t_3</tspan></text> + </g> + <g + transform="translate(349.99999,0)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3816"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3920" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3922" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3924" + sodipodi:role="line">span4_vert_t_14</tspan></text> + </g> + <g + transform="translate(375,0)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3818"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3928" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3930" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3932" + sodipodi:role="line">span4_vert_t_15</tspan></text> + </g> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 75.000003,392.36218 0,120" + id="path2989-5" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-492.36218" + y="70" + id="text3759-0" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + id="tspan3761-5" + x="-492.36218" + y="70">span4_vert_b_0</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3770-5" + d="m 100,392.36218 0,120" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3772-3" + y="95" + x="-492.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="95" + x="-492.36218" + id="tspan3774-0" + sodipodi:role="line">span4_vert_b_1</tspan></text> + <g + transform="translate(50.000003,380)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3792-6"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3824-8" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3826-3" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3828-9" + sodipodi:role="line">span4_vert_b_2</tspan></text> + </g> + <g + transform="translate(75.000003,380)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3794-4"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3832-9" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3834-3" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3836-2" + sodipodi:role="line">span4_vert_b_3</tspan></text> + </g> + <g + transform="translate(100,380)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3796-4"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3840-0" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3842-0" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3844-7" + sodipodi:role="line">span4_vert_b_4</tspan></text> + </g> + <g + transform="translate(125.00001,380)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3798-6"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3848-7" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3850-3" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3852-7" + sodipodi:role="line">span4_vert_b_5</tspan></text> + </g> + <g + transform="translate(150.00001,380)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3800-9"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3856-9" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3858-8" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3860-5" + sodipodi:role="line">span4_vert_b_6</tspan></text> + </g> + <g + transform="translate(175,380)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3802-4"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3864-9" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3866-3" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3868-8" + sodipodi:role="line">span4_vert_b_7</tspan></text> + </g> + <g + transform="translate(200.00001,380)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3804-1"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3872-0" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3874-8" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3876-8" + sodipodi:role="line">span4_vert_b_8</tspan></text> + </g> + <g + transform="translate(225,380)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3806-7"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3880-4" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3882-8" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3884-4" + sodipodi:role="line">span4_vert_b_9</tspan></text> + </g> + <g + transform="translate(250,380)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3808-2"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3888-1" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3890-5" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3892-8" + sodipodi:role="line">span4_vert_b_10</tspan></text> + </g> + <g + transform="translate(275.00001,380)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3810-2"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3896-8" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3898-0" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3900-9" + sodipodi:role="line">span4_vert_b_11</tspan></text> + </g> + <g + transform="translate(300,380)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3812-9"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3904-2" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3906-8" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3908-7" + sodipodi:role="line">span4_vert_b_12</tspan></text> + </g> + <g + transform="translate(325.00001,380)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3814-2"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3912-3" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3914-4" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3916-1" + sodipodi:role="line">span4_vert_b_13</tspan></text> + </g> + <g + transform="translate(349.99999,380)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3816-2"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3920-4" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3922-8" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3924-8" + sodipodi:role="line">span4_vert_b_14</tspan></text> + </g> + <g + transform="translate(375,380)" + inkscape:tile-y0="12.362183" + inkscape:tile-x0="63.635187" + id="use3818-3"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3928-4" + d="M 75,12.362183 75,132.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3930-6" + y="70" + x="-112.36218" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="70" + x="-112.36218" + id="tspan3932-6" + sodipodi:role="line">span4_vert_b_15</tspan></text> + </g> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 100.00076,132.37796 100,260" + id="path4149" + inkscape:connector-curvature="0" + inkscape:tile-cx="125" + inkscape:tile-cy="262.36218" + inkscape:tile-w="100" + inkscape:tile-h="260" + inkscape:tile-x0="75" + inkscape:tile-y0="132.36218" /> + <use + x="0" + y="0" + inkscape:tiled-clone-of="#path4149" + xlink:href="#path4149" + id="use4199" + width="744.09448" + height="1052.3622" + transform="translate(-25.000763,0)" /> + <use + x="0" + y="0" + inkscape:tiled-clone-of="#path4149" + xlink:href="#path4149" + transform="translate(50.001526,0)" + id="use4201" + width="744.09448" + height="1052.3622" /> + <use + x="0" + y="0" + inkscape:tiled-clone-of="#path4149" + xlink:href="#path4149" + transform="translate(75.00229,0)" + id="use4203" + width="744.09448" + height="1052.3622" /> + <use + x="0" + y="0" + inkscape:tiled-clone-of="#path4149" + xlink:href="#path4149" + transform="translate(100.00305,0)" + id="use4205" + width="744.09448" + height="1052.3622" /> + <use + x="0" + y="0" + inkscape:tiled-clone-of="#path4149" + xlink:href="#path4149" + transform="translate(125.00382,0)" + id="use4207" + width="744.09448" + height="1052.3622" /> + <use + x="0" + y="0" + inkscape:tiled-clone-of="#path4149" + xlink:href="#path4149" + transform="translate(150.0046,0)" + id="use4209" + width="744.09448" + height="1052.3622" /> + <use + x="0" + y="0" + inkscape:tiled-clone-of="#path4149" + xlink:href="#path4149" + transform="translate(225.00686,0)" + id="use4211" + width="744.09448" + height="1052.3622" /> + <use + x="0" + y="0" + inkscape:tiled-clone-of="#path4149" + xlink:href="#path4149" + transform="translate(250.00767,0)" + id="use4213" + width="744.09448" + height="1052.3622" /> + <use + height="1052.3622" + width="744.09448" + id="use4215" + transform="translate(175.00534,0)" + xlink:href="#path4149" + inkscape:tiled-clone-of="#path4149" + y="0" + x="0" /> + <use + height="1052.3622" + width="744.09448" + id="use4217" + transform="translate(25.000762,0)" + xlink:href="#path4149" + inkscape:tiled-clone-of="#path4149" + y="0" + x="0" /> + <use + height="1052.3622" + width="744.09448" + id="use4219" + transform="translate(200.00613,0)" + xlink:href="#path4149" + inkscape:tiled-clone-of="#path4149" + y="0" + x="0" /> + <g + id="g3120" + inkscape:tile-x0="63.635187" + inkscape:tile-y0="12.362183" + transform="matrix(0,1,-1,0,701.9863,132.73806)"> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m -64.375877,-3.0137 0,175" + id="path3122" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-161.9863" + y="-69.375877" + id="text3124" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + id="tspan3126" + x="-161.9863" + y="-69.375877">span4_horz_0 .. span4_horz_47</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3156" + d="m -9.375877,-3.0137 0,175" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m -14.375877,-3.0137 0,175" + id="path3158" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3160" + d="m -19.375877,-3.0137 0,175" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m -24.375877,-3.0137 0,175" + id="path3162" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3164" + d="m -29.375877,-3.0137 0,175" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m -34.375877,-3.0137 0,175" + id="path3166" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3168" + d="m -39.375877,-3.0137 0,175" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m -44.375877,-3.0137 0,175" + id="path3170" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3172" + d="m -49.375877,-3.0137 0,175" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m -54.375877,-3.0137 0,175" + id="path3174" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3176" + d="m -59.375877,-3.0137 0,175" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3182" + d="m 0.778962,-3.2662381 0,174.9999981" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 55.778962,-3.2662381 0,174.9999981" + id="path3184" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3186" + d="m 50.778962,-3.2662381 0,174.9999981" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 45.778962,-3.2662381 0,174.9999981" + id="path3188" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3190" + d="m 40.778962,-3.2662381 0,174.9999981" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 35.778962,-3.2662381 0,174.9999981" + id="path3192" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3194" + d="m 30.778963,-3.2662381 0,174.9999981" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 25.778962,-3.2662381 0,174.9999981" + id="path3196" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3198" + d="m 20.778962,-3.2662381 0,174.9999981" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 15.778962,-3.2662381 0,174.9999981" + id="path3200" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3202" + d="m 10.778962,-3.2662381 0,174.9999981" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 5.778962,-3.2662381 0,174.9999981" + id="path3204" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 65.42872,-3.2662381 0,174.9999981" + id="path3206" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3208" + d="m 120.42872,-3.2662381 0,174.9999981" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 115.42872,-3.2662381 0,174.9999981" + id="path3210" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3212" + d="m 110.42872,-3.2662381 0,174.9999981" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 105.42872,-3.2662381 0,174.9999981" + id="path3214" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3216" + d="m 100.42872,-3.2662381 0,174.9999981" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 95.42873,-3.2662381 0,174.9999981" + id="path3218" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3220" + d="m 90.42872,-3.2662381 0,174.9999981" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 85.42872,-3.2662381 0,174.9999981" + id="path3222" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3224" + d="m 80.42872,-3.2662381 0,174.9999981" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 75.42872,-3.2662381 0,174.9999981" + id="path3226" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3228" + d="m 70.42872,-3.2662381 0,174.9999981" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3230" + d="m 130.8361,-3.5187762 0,174.9999962" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 185.8361,-3.5187762 0,174.9999962" + id="path3232" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3234" + d="m 180.8361,-3.5187762 0,174.9999962" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 175.8361,-3.5187762 0,174.9999962" + id="path3236" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3238" + d="m 170.8361,-3.5187762 0,174.9999962" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 165.8361,-3.5187762 0,174.9999962" + id="path3240" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3242" + d="m 160.83611,-3.5187762 0,174.9999962" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 155.8361,-3.5187762 0,174.9999962" + id="path3244" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3246" + d="m 150.8361,-3.5187762 0,174.9999962" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 145.8361,-3.5187762 0,174.9999962" + id="path3248" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3250" + d="m 140.8361,-3.5187762 0,174.9999962" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 135.8361,-3.5187762 0,174.9999962" + id="path3252" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3254" + d="m 223.62412,-3.0137 0,175" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text3256" + y="218.62411" + x="-161.9863" + style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="218.62411" + x="-161.9863" + id="tspan3258" + sodipodi:role="line">span12_horz_0 .. span12_horz_23</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 278.62412,-3.0137 0,175" + id="path3260" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3262" + d="m 273.62412,-3.0137 0,175" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 268.62412,-3.0137 0,175" + id="path3264" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3266" + d="m 263.62412,-3.0137 0,175" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 258.62412,-3.0137 0,175" + id="path3268" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3270" + d="m 253.62412,-3.0137 0,175" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 248.62412,-3.0137 0,175" + id="path3272" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3274" + d="m 243.62412,-3.0137 0,175" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 238.62412,-3.0137 0,175" + id="path3276" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3278" + d="m 233.62412,-3.0137 0,175" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 228.62412,-3.0137 0,175" + id="path3280" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 288.77896,-3.2662381 0,174.9999981" + id="path3282" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3284" + d="m 343.77896,-3.2662381 0,174.9999981" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 338.77896,-3.2662381 0,174.9999981" + id="path3286" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3288" + d="m 333.77896,-3.2662381 0,174.9999981" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 328.77896,-3.2662381 0,174.9999981" + id="path3290" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3292" + d="m 323.77896,-3.2662381 0,174.9999981" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 318.77896,-3.2662381 0,174.9999981" + id="path3294" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3296" + d="m 313.77896,-3.2662381 0,174.9999981" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 308.77896,-3.2662381 0,174.9999981" + id="path3298" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3300" + d="m 303.77896,-3.2662381 0,174.9999981" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 298.77896,-3.2662381 0,174.9999981" + id="path3302" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3304" + d="m 293.77896,-3.2662381 0,174.9999981" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + </g> + </g> +</svg> diff --git a/docs/logic_tile.html b/docs/logic_tile.html new file mode 100644 index 0000000..8e3dcad --- /dev/null +++ b/docs/logic_tile.html @@ -0,0 +1,327 @@ +<title>Project IceStorm – LOGIC Tile Documentation</title> +<h1>Project IceStorm – LOGIC Tile Documentation</h1> + +<p> +<i><a href=".">Project IceStorm</a> aims at documenting the bitstream format of Lattice iCE40 +FPGAs and providing simple tools for analyzing and creating bitstream files. +This is work in progress.</i> +</p> + +<h2>Span-4 and Span-12 Wires</h2> + +<p> +The <i>span-4</i> and <i>span-12</i> wires are the main interconnect resource in iCE40 FPGAs. They "span" (have a length of) +4 or 12 cells in horizontal or vertical direction. +</p> + +<p> +The bits marked <tt>routing</tt> in the bitstream do enable switches (transfer gates) that can +be used to connect wire segments bidirectionally to each other in order to create larger +segments. The bits marked <tt>buffer</tt> in the bitstream enable tristate buffers that drive +the signal in one direction from one wire to another. Both types of bits exist for routing between +span-wires. See the auto generated documentation for the LOGIC Tile configuration bits for details. +</p> + +<p> +Only directional tristate buffers are used to route signals between the span-wires and the logic cells. +</p> + +<h3 style="clear:both">Span-4 Horizontal</h3> + +<p><a href="sp4h.svg"><img style="float:right; padding:1em; padding-top:0" height="200" src="sp4h.svg" border="0"></a></p> + +<p> +The image on the right shows the <i>horizontal span-4</i> wires of a logic or ram cell (click to enlarge). +</p> + +<p> +On the left side of the cell there are 48 connections named <tt>sp4_h_l_0</tt> to <tt>sp4_h_l_47</tt>. The lower 36 of those +wires are connected to <tt>sp4_h_r_12</tt> to <tt>sp4_h_r_47</tt> on the right side of the cell. (IceStorm normalizes this +wire names to <tt>sp4_h_r_0</tt> to <tt>sp4_h_r_35</tt>. Note: the Lattice tools use a different normalization scheme +for this wire names.) The wires connecting the left and right horizontal span-4 ports are pairwise crossed-out. +</p> + +<p> +The wires <tt>sp4_h_l_36</tt> to <tt>sp4_h_l_47</tt> terminate in the cell, so do the wires <tt>sp4_h_r_0</tt> to <tt>sp4_h_r_11</tt>. +</p> + +<p> +This wires "span" 4 cells, i.e. they connect 5 cells if you count the cells on +both ends of the wire. +</p> + +<p> +For example, the wire <tt>sp4_h_r_0</tt> in cell (x, y) has the following names: +</p> + +<p align="center"> +<table border> +<tr><th>Cell Coordinates</th><th>sp4_h_l_* wire name</th><th>sp4_h_r_* wire name</th></tr> +<tr><td>x, y</td><td><tt>-</tt></td><td><tt>sp4_h_r_0</tt></td></tr> +<tr><td>x+1, y</td><td><tt>sp4_h_l_0</tt></td><td><tt>sp4_h_r_13</tt></td></tr> +<tr><td>x+2, y</td><td><tt>sp4_h_l_13</tt></td><td><tt>sp4_h_r_24</tt></td></tr> +<tr><td>x+3, y</td><td><tt>sp4_h_l_24</tt></td><td><tt>sp4_h_r_37</tt></td></tr> +<tr><td>x+4, y</td><td><tt>sp4_h_l_37</tt></td><td><tt>-</tt></td></tr> +</table> +</p> + +<h3 style="clear:both">Span-4 Vertical</h3> + +<p><a href="sp4v.svg"><img style="float:right; padding:1em; padding-top:0" height="200" src="sp4v.svg" border="0"></a></p> + +<p> +The image on the right shows the <i>veritical span-4</i> wires of a logic or ram cell (click to enlarge). +</p> + +<p> +Similar to the horizontal span-4 wires there are 48 connections on the top (<tt>sp4_v_t_0</tt> to <tt>sp4_v_t_47</tt>) and +48 connections on the bottom (<tt>sp4_v_b_0</tt> to <tt>sp4_v_b_47</tt>). The wires <tt>sp4_v_t_0</tt> to <tt>sp4_v_t_35</tt> +are connected to <tt>sp4_v_b_12</tt> to <tt>sp4_v_b_47</tt> (with pairwise crossing out). Wire names are normalized +to <tt>sp4_v_b_12</tt> to <tt>sp4_v_b_47</tt>. +</p> + +<p> +But in addition to that, each cell also has access to <tt>sp4_v_b_0</tt> to <tt>sp4_v_b_47</tt> of its right neighbour. +This are the wires <tt>sp4_r_v_b_0</tt> to <tt>sp4_r_v_b_47</tt>. So over all a single veritical span-4 wire +connects 9 cells. For example, the wire <tt>sp4_v_b_0</tt> in cell (x, y) has the following names: +</p> + +<p align="center"> +<table border> +<tr><th>Cell Coordinates</th><th>sp4_v_t_* wire name</th><th>sp4_v_b_* wire name</th><th>sp4_r_v_b_* wire name</th></tr> +<tr><td>x, y</td><td><tt>-</tt></td><td><tt>sp4_v_b_0</tt></td><td><tt>-</tt></td></tr> +<tr><td>x, y-1</td><td><tt>sp4_v_t_0</tt></td><td><tt>sp4_v_b_13</tt></td><td><tt>-</tt></td></tr> +<tr><td>x, y-2</td><td><tt>sp4_v_t_13</tt></td><td><tt>sp4_v_b_24</tt></td><td><tt>-</tt></td></tr> +<tr><td>x, y-3</td><td><tt>sp4_v_t_24</tt></td><td><tt>sp4_v_b_37</tt></td><td><tt>-</tt></td></tr> +<tr><td>x, y-4</td><td><tt>sp4_v_t_37</tt></td><td><tt>-</tt></td><td><tt>-</tt></td></tr> +<tr><td>x-1, y</td><td><tt>-</tt></td><td><tt>-</tt></td><td><tt>sp4_r_v_b_0</tt></td></tr> +<tr><td>x-1, y-1</td><td><tt>-</tt></td><td><tt>-</tt></td><td><tt>sp4_r_v_b_13</tt></td></tr> +<tr><td>x-1, y-2</td><td><tt>-</tt></td><td><tt>-</tt></td><td><tt>sp4_r_v_b_24</tt></td></tr> +<tr><td>x-1, y-3</td><td><tt>-</tt></td><td><tt>-</tt></td><td><tt>sp4_r_v_b_37</tt></td></tr> +</table> +</p> + +<h3 style="clear:both">Span-12 Horizontal and Vertical</h3> + +<p> +Similar to the span-4 wires there are also longer horizontal and vertical span-12 wires. +</p> + +<p> +There are 24 connections <tt>sp12_v_t_0</tt> to <tt>sp12_v_t_23</tt> on the top of the +cell and 24 connections <tt>sp12_v_b_0</tt> to <tt>sp12_v_b_23</tt> on the bottom of the +cell. The wires <tt>sp12_v_t_0</tt> to <tt>sp12_v_t_21</tt> are connected to +<tt>sp12_v_b_2</tt> to <tt>sp12_v_b_23</tt> (with pairwise crossing out). The connections +<tt>sp12_v_b_0</tt>, <tt>sp12_v_b_1</tt>, <tt>sp12_v_t_22</tt>, and <tt>sp12_v_t_23</tt> +terminate in the cell. Wire names are normalized to <tt>sp12_v_b_2</tt> to <tt>sp12_v_b_23</tt>. +</p> + +<p> +There are also 24 connections <tt>sp12_h_l_0</tt> to <tt>sp12_h_l_23</tt> on the left of the +cell and 24 connections <tt>sp12_h_r_0</tt> to <tt>sp12_h_r_23</tt> on the right of the +cell. The wires <tt>sp12_h_l_0</tt> to <tt>sp12_h_l_21</tt> are connected to +<tt>sp12_h_r_2</tt> to <tt>sp12_h_r_23</tt> (with pairwise crossing out). The connections +<tt>sp12_h_r_0</tt>, <tt>sp12_h_r_1</tt>, <tt>sp12_h_l_22</tt>, and <tt>sp12_h_l_23</tt> +terminate in the cell. Wire names are normalized to <tt>sp12_v_r_2</tt> to <tt>sp12_h_r_23</tt>. +</p> + +<h2>Local Tracks</h2> + +<p> +The <i>local tracks</i> are the gateway to the logic cell inputs. Signals from the span-wires +and the logic cell ouputs of the eight neighbour cells can be routed to the local tracks and +signals from the local tracks can be routed to the logic cell inputs. +</p> + +<p> +Each logic tile has 32 local tracks. They are organized in 4 groups of 8 wires each: +<tt>local_g0_0</tt> to <tt>local_g3_7</tt>. +</p> + +<p> +The span wires, global signals, and neighbour outputs can be routed to the local tracks. But not +every of those signals can be routed to every of the local tracks. Instead there is a different +mix of 16 signals for each local track. +</p> + +<p> +The buffer driving the local track has 5 configuration bits. One enable bit and 4 bits that select +the input wire. For example for <tt>local_g0_0</tt> (copy&paste from the bitstream doku): +</p> + +<p align="center"> +<table border=""><tbody><tr> +<th style="width:5em"><a name="B.0.14">B0[14]</a></th> +<th style="width:5em"><a name="B.1.14">B1[14]</a></th> +<th style="width:5em"><a name="B.1.15">B1[15]</a></th> +<th style="width:5em"><a name="B.1.16">B1[16]</a></th> +<th style="width:5em"><a name="B.1.17">B1[17]</a></th> +<th style="width:5em">Function</th><th style="width:15em">Source-Net</th><th style="width:15em">Destination-Net</th></tr> +<tr><td align="center">0</td><td align="center">0</td><td align="center">0</td><td align="center">0</td><td align="center">1</td><td align="center">buffer</td><td><tt>sp4_r_v_b_24</tt></td><td><tt>local_g0_0</tt></td></tr> +<tr><td align="center">0</td><td align="center">0</td><td align="center">0</td><td align="center">1</td><td align="center">1</td><td align="center">buffer</td><td><tt>sp12_h_r_8</tt></td><td><tt>local_g0_0</tt></td></tr> +<tr><td align="center">0</td><td align="center">0</td><td align="center">1</td><td align="center">0</td><td align="center">1</td><td align="center">buffer</td><td><tt>neigh_op_bot_0</tt></td><td><tt>local_g0_0</tt></td></tr> +<tr><td align="center">0</td><td align="center">0</td><td align="center">1</td><td align="center">1</td><td align="center">1</td><td align="center">buffer</td><td><tt>sp4_v_b_16</tt></td><td><tt>local_g0_0</tt></td></tr> +<tr><td align="center">0</td><td align="center">1</td><td align="center">0</td><td align="center">0</td><td align="center">1</td><td align="center">buffer</td><td><tt>sp4_r_v_b_35</tt></td><td><tt>local_g0_0</tt></td></tr> +<tr><td align="center">0</td><td align="center">1</td><td align="center">0</td><td align="center">1</td><td align="center">1</td><td align="center">buffer</td><td><tt>sp12_h_r_16</tt></td><td><tt>local_g0_0</tt></td></tr> +<tr><td align="center">0</td><td align="center">1</td><td align="center">1</td><td align="center">0</td><td align="center">1</td><td align="center">buffer</td><td><tt>neigh_op_top_0</tt></td><td><tt>local_g0_0</tt></td></tr> +<tr><td align="center">0</td><td align="center">1</td><td align="center">1</td><td align="center">1</td><td align="center">1</td><td align="center">buffer</td><td><tt>sp4_h_r_0</tt></td><td><tt>local_g0_0</tt></td></tr> +<tr><td align="center">1</td><td align="center">0</td><td align="center">0</td><td align="center">0</td><td align="center">1</td><td align="center">buffer</td><td><tt>lutff_0/out</tt></td><td><tt>local_g0_0</tt></td></tr> +<tr><td align="center">1</td><td align="center">0</td><td align="center">0</td><td align="center">1</td><td align="center">1</td><td align="center">buffer</td><td><tt>sp4_v_b_0</tt></td><td><tt>local_g0_0</tt></td></tr> +<tr><td align="center">1</td><td align="center">0</td><td align="center">1</td><td align="center">0</td><td align="center">1</td><td align="center">buffer</td><td><tt>neigh_op_lft_0</tt></td><td><tt>local_g0_0</tt></td></tr> +<tr><td align="center">1</td><td align="center">0</td><td align="center">1</td><td align="center">1</td><td align="center">1</td><td align="center">buffer</td><td><tt>sp4_h_r_8</tt></td><td><tt>local_g0_0</tt></td></tr> +<tr><td align="center">1</td><td align="center">1</td><td align="center">0</td><td align="center">0</td><td align="center">1</td><td align="center">buffer</td><td><tt>neigh_op_bnr_0</tt></td><td><tt>local_g0_0</tt></td></tr> +<tr><td align="center">1</td><td align="center">1</td><td align="center">0</td><td align="center">1</td><td align="center">1</td><td align="center">buffer</td><td><tt>sp4_v_b_8</tt></td><td><tt>local_g0_0</tt></td></tr> +<tr><td align="center">1</td><td align="center">1</td><td align="center">1</td><td align="center">0</td><td align="center">1</td><td align="center">buffer</td><td><tt>sp12_h_r_0</tt></td><td><tt>local_g0_0</tt></td></tr> +<tr><td align="center">1</td><td align="center">1</td><td align="center">1</td><td align="center">1</td><td align="center">1</td><td align="center">buffer</td><td><tt>sp4_h_r_16</tt></td><td><tt>local_g0_0</tt></td></tr> +</tbody></table> +</p> + +<p> +Then the signals on the local tracks can be routed to the input pins of the logic cells. Like before, +not every local track can be routed to every logic cell input pin. Instead there is a different mix +of 16 local track for each logic cell input. For example for <tt>lutff_0/in_0</tt>: +</p> + +<p align="center"> +<table border=""><tbody><tr> +<th style="width:5em"><a name="B.0.26">B0[26]</a></th> +<th style="width:5em"><a name="B.1.26">B1[26]</a></th> +<th style="width:5em"><a name="B.1.27">B1[27]</a></th> +<th style="width:5em"><a name="B.1.28">B1[28]</a></th> +<th style="width:5em"><a name="B.1.29">B1[29]</a></th> +<th style="width:5em">Function</th><th style="width:15em">Source-Net</th><th style="width:15em">Destination-Net</th></tr> +<tr><td align="center">0</td><td align="center">0</td><td align="center">0</td><td align="center">0</td><td align="center">1</td><td align="center">buffer</td><td><tt>local_g0_0</tt></td><td><tt>lutff_0/in_0</tt></td></tr> +<tr><td align="center">0</td><td align="center">0</td><td align="center">0</td><td align="center">1</td><td align="center">1</td><td align="center">buffer</td><td><tt>local_g2_0</tt></td><td><tt>lutff_0/in_0</tt></td></tr> +<tr><td align="center">0</td><td align="center">0</td><td align="center">1</td><td align="center">0</td><td align="center">1</td><td align="center">buffer</td><td><tt>local_g1_1</tt></td><td><tt>lutff_0/in_0</tt></td></tr> +<tr><td align="center">0</td><td align="center">0</td><td align="center">1</td><td align="center">1</td><td align="center">1</td><td align="center">buffer</td><td><tt>local_g3_1</tt></td><td><tt>lutff_0/in_0</tt></td></tr> +<tr><td align="center">0</td><td align="center">1</td><td align="center">0</td><td align="center">0</td><td align="center">1</td><td align="center">buffer</td><td><tt>local_g0_2</tt></td><td><tt>lutff_0/in_0</tt></td></tr> +<tr><td align="center">0</td><td align="center">1</td><td align="center">0</td><td align="center">1</td><td align="center">1</td><td align="center">buffer</td><td><tt>local_g2_2</tt></td><td><tt>lutff_0/in_0</tt></td></tr> +<tr><td align="center">0</td><td align="center">1</td><td align="center">1</td><td align="center">0</td><td align="center">1</td><td align="center">buffer</td><td><tt>local_g1_3</tt></td><td><tt>lutff_0/in_0</tt></td></tr> +<tr><td align="center">0</td><td align="center">1</td><td align="center">1</td><td align="center">1</td><td align="center">1</td><td align="center">buffer</td><td><tt>local_g3_3</tt></td><td><tt>lutff_0/in_0</tt></td></tr> +<tr><td align="center">1</td><td align="center">0</td><td align="center">0</td><td align="center">0</td><td align="center">1</td><td align="center">buffer</td><td><tt>local_g0_4</tt></td><td><tt>lutff_0/in_0</tt></td></tr> +<tr><td align="center">1</td><td align="center">0</td><td align="center">0</td><td align="center">1</td><td align="center">1</td><td align="center">buffer</td><td><tt>local_g2_4</tt></td><td><tt>lutff_0/in_0</tt></td></tr> +<tr><td align="center">1</td><td align="center">0</td><td align="center">1</td><td align="center">0</td><td align="center">1</td><td align="center">buffer</td><td><tt>local_g1_5</tt></td><td><tt>lutff_0/in_0</tt></td></tr> +<tr><td align="center">1</td><td align="center">0</td><td align="center">1</td><td align="center">1</td><td align="center">1</td><td align="center">buffer</td><td><tt>local_g3_5</tt></td><td><tt>lutff_0/in_0</tt></td></tr> +<tr><td align="center">1</td><td align="center">1</td><td align="center">0</td><td align="center">0</td><td align="center">1</td><td align="center">buffer</td><td><tt>local_g0_6</tt></td><td><tt>lutff_0/in_0</tt></td></tr> +<tr><td align="center">1</td><td align="center">1</td><td align="center">0</td><td align="center">1</td><td align="center">1</td><td align="center">buffer</td><td><tt>local_g2_6</tt></td><td><tt>lutff_0/in_0</tt></td></tr> +<tr><td align="center">1</td><td align="center">1</td><td align="center">1</td><td align="center">0</td><td align="center">1</td><td align="center">buffer</td><td><tt>local_g1_7</tt></td><td><tt>lutff_0/in_0</tt></td></tr> +<tr><td align="center">1</td><td align="center">1</td><td align="center">1</td><td align="center">1</td><td align="center">1</td><td align="center">buffer</td><td><tt>local_g3_7</tt></td><td><tt>lutff_0/in_0</tt></td></tr> +</tbody></table> +</p> + +<p> +The 8 global nets on the iCE40 can be routed to the local track via the <tt>glb2local_0</tt> to <tt>glb2local_3</tt> +nets using a similar two-stage process. The logic block clock-enable and set-reset inputs can be driven +directly from one of 4 global nets or from one of 4 local tracks. The logic block clock input can be driven +from any of the global nets and from a few local tracks. See the bitstream documentation for details. +</p> + +<h2>Logic Block</h2> + +<p> +Each logic tile has a logic block containing 8 logic cells. Each logic cell contains a 4-input LUT, a carry +unit and a flip-flop. Clock, clock enable, and set/reset inputs are shared along the 8 logic cells. So is the +bit that configures positive/negative edge for the flip flops. But the three configuration bits that specify if +the flip flop should be used, if it is set or reset by the set/reset input, and if the set/reset is synchronous +or asynchrouns exist for each logic cell individually. +</p> + +<p> +Each LUT <i>i</i> has four input wires <tt>lutff_<i>i</i>/in_0</tt> to <tt>lutff_<i>i</i>/in_3</tt>. Input +<tt>lutff_<i>i</i>/in_3</tt> can be configured to be driven by the carry output of the previous logic cell, +or by <tt>carry_in_mux</tt> in case of <i>i</i>=0. Input <tt>lutff_<i>i</i>/in_2</tt> can be configured to +be driven by the output of the previous LUT for <i>i</i>>0. The LUT uses its 4 input signals to +calculate <tt>lutff_<i>i</i>/out</tt>. +</p> + +<p> +The carry unit calculates <tt>lutff_<i>i</i>/cout</tt> = <tt>lutff_<i>i</i>/in_1</tt> + <tt>lutff_<i>i</i>/in_2</tt> + <tt>lutff_<i>(i-1)</i>/cout</tt> > 1</tt>. In case of <i>i</i>=0, <tt>carry_in_mux</tt> is used as third input. <tt>carry_in_mux</tt> can be configured to be constant 0, 1 or the <tt>lutff_7/cout</tt> signal from the logic tile below. +</p> + +<p> +Part of the functionality described above is documented as part of the routing +bitstream documentation (see the buffers for <tt>luttff_</tt> inputs). The <tt>NegClk</tt> +bit switches all 8 FFs in the tile to negative edge mode. The <tt>CarryInSet</tt> +bit drives the <tt>carry_in_mux</tt> high (it defaults to low when not driven via the buffer from +<tt>carry_in</tt>). +</p> + +<p> +The remaining functions of the logic cell are configured via the <tt>LC_<i>i</i></tt> bits. This +are 20 bit per logic cell. We have arbitrarily labeld those bits as follows: +</p> + +<p align="center"> +<table cellpadding="3" border> +<tr><th>Label</th><th>LC_0</th><th>LC_1</th><th>LC_2</th><th>LC_3</th><th>LC_4</th><th>LC_5</th><th>LC_6</th><th>LC_7</th></tr> +<tr><td>LC_<i>i</i>[0]</tt></td><td>B0[36]</td><td>B2[36]</td><td>B4[36]</td><td>B6[36]</td><td>B8[36]</td><td>B10[36]</td><td>B12[36]</td><td>B14[36]</td></tr> +<tr><td>LC_<i>i</i>[1]</tt></td><td>B0[37]</td><td>B2[37]</td><td>B4[37]</td><td>B6[37]</td><td>B8[37]</td><td>B10[37]</td><td>B12[37]</td><td>B14[37]</td></tr> +<tr><td>LC_<i>i</i>[2]</tt></td><td>B0[38]</td><td>B2[38]</td><td>B4[38]</td><td>B6[38]</td><td>B8[38]</td><td>B10[38]</td><td>B12[38]</td><td>B14[38]</td></tr> +<tr><td>LC_<i>i</i>[3]</tt></td><td>B0[39]</td><td>B2[39]</td><td>B4[39]</td><td>B6[39]</td><td>B8[39]</td><td>B10[39]</td><td>B12[39]</td><td>B14[39]</td></tr> +<tr><td>LC_<i>i</i>[4]</tt></td><td>B0[40]</td><td>B2[40]</td><td>B4[40]</td><td>B6[40]</td><td>B8[40]</td><td>B10[40]</td><td>B12[40]</td><td>B14[40]</td></tr> +<tr><td>LC_<i>i</i>[5]</tt></td><td>B0[41]</td><td>B2[41]</td><td>B4[41]</td><td>B6[41]</td><td>B8[41]</td><td>B10[41]</td><td>B12[41]</td><td>B14[41]</td></tr> +<tr><td>LC_<i>i</i>[6]</tt></td><td>B0[42]</td><td>B2[42]</td><td>B4[42]</td><td>B6[42]</td><td>B8[42]</td><td>B10[42]</td><td>B12[42]</td><td>B14[42]</td></tr> +<tr><td>LC_<i>i</i>[7]</tt></td><td>B0[43]</td><td>B2[43]</td><td>B4[43]</td><td>B6[43]</td><td>B8[43]</td><td>B10[43]</td><td>B12[43]</td><td>B14[43]</td></tr> +<tr><td>LC_<i>i</i>[8]</tt></td><td>B0[44]</td><td>B2[44]</td><td>B4[44]</td><td>B6[44]</td><td>B8[44]</td><td>B10[44]</td><td>B12[44]</td><td>B14[44]</td></tr> +<tr><td>LC_<i>i</i>[9]</tt></td><td>B0[45]</td><td>B2[45]</td><td>B4[45]</td><td>B6[45]</td><td>B8[45]</td><td>B10[45]</td><td>B12[45]</td><td>B14[45]</td></tr> +<tr><td>LC_<i>i</i>[10]</tt></td><td>B1[36]</td><td>B3[36]</td><td>B5[36]</td><td>B7[36]</td><td>B9[36]</td><td>B11[36]</td><td>B13[36]</td><td>B15[36]</td></tr> +<tr><td>LC_<i>i</i>[11]</tt></td><td>B1[37]</td><td>B3[37]</td><td>B5[37]</td><td>B7[37]</td><td>B9[37]</td><td>B11[37]</td><td>B13[37]</td><td>B15[37]</td></tr> +<tr><td>LC_<i>i</i>[12]</tt></td><td>B1[38]</td><td>B3[38]</td><td>B5[38]</td><td>B7[38]</td><td>B9[38]</td><td>B11[38]</td><td>B13[38]</td><td>B15[38]</td></tr> +<tr><td>LC_<i>i</i>[13]</tt></td><td>B1[39]</td><td>B3[39]</td><td>B5[39]</td><td>B7[39]</td><td>B9[39]</td><td>B11[39]</td><td>B13[39]</td><td>B15[39]</td></tr> +<tr><td>LC_<i>i</i>[14]</tt></td><td>B1[40]</td><td>B3[40]</td><td>B5[40]</td><td>B7[40]</td><td>B9[40]</td><td>B11[40]</td><td>B13[40]</td><td>B15[40]</td></tr> +<tr><td>LC_<i>i</i>[15]</tt></td><td>B1[41]</td><td>B3[41]</td><td>B5[41]</td><td>B7[41]</td><td>B9[41]</td><td>B11[41]</td><td>B13[41]</td><td>B15[41]</td></tr> +<tr><td>LC_<i>i</i>[16]</tt></td><td>B1[42]</td><td>B3[42]</td><td>B5[42]</td><td>B7[42]</td><td>B9[42]</td><td>B11[42]</td><td>B13[42]</td><td>B15[42]</td></tr> +<tr><td>LC_<i>i</i>[17]</tt></td><td>B1[43]</td><td>B3[43]</td><td>B5[43]</td><td>B7[43]</td><td>B9[43]</td><td>B11[43]</td><td>B13[43]</td><td>B15[43]</td></tr> +<tr><td>LC_<i>i</i>[18]</tt></td><td>B1[44]</td><td>B3[44]</td><td>B5[44]</td><td>B7[44]</td><td>B9[44]</td><td>B11[44]</td><td>B13[44]</td><td>B15[44]</td></tr> +<tr><td>LC_<i>i</i>[19]</tt></td><td>B1[45]</td><td>B3[45]</td><td>B5[45]</td><td>B7[45]</td><td>B9[45]</td><td>B11[45]</td><td>B13[45]</td><td>B15[45]</td></tr> +</table> +</p> + +<p> +<tt>LC_<i>i</i>[8]</tt> is the <tt>CarryEnable</tt> bit. This bit must be set if the carry logic is used. +</p> + +<p> +<tt>LC_<i>i</i>[9]</tt> is the <tt>DffEnable</tt> bit. It enables the output flip-flop for the LUT. +</p> + +<p> +<tt>LC_<i>i</i>[18]</tt> is the <tt>Set_NoReset</tt> bit. When this bit is set then the set/reset signal will set, not reset the flip-flop. +</p> + +<p> +<tt>LC_<i>i</i>[19]</tt> is the <tt>AsyncSetReset</tt> bit. When this bit is set then the set/reset signal is asynchronous to the clock. +</p> + +<p> +The LUT implements the following truth table: +</p> + +<p align="center"> +<table cellpadding="3" border> +<tr><th>in_3</th><th>in_2</th><th>in_1</th><th>in_0</th><th>out</th></tr> +<tr><td align="center">0</td><td align="center">0</td><td align="center">0</td><td align="center">0</td><td><tt>LC_<i>i</i>[4]</tt></td></tr> +<tr><td align="center">0</td><td align="center">0</td><td align="center">0</td><td align="center">1</td><td><tt>LC_<i>i</i>[14]</tt></td></tr> +<tr><td align="center">0</td><td align="center">0</td><td align="center">1</td><td align="center">0</td><td><tt>LC_<i>i</i>[15]</tt></td></tr> +<tr><td align="center">0</td><td align="center">0</td><td align="center">1</td><td align="center">1</td><td><tt>LC_<i>i</i>[5]</tt></td></tr> +<tr><td align="center">0</td><td align="center">1</td><td align="center">0</td><td align="center">0</td><td><tt>LC_<i>i</i>[6]</tt></td></tr> +<tr><td align="center">0</td><td align="center">1</td><td align="center">0</td><td align="center">1</td><td><tt>LC_<i>i</i>[16]</tt></td></tr> +<tr><td align="center">0</td><td align="center">1</td><td align="center">1</td><td align="center">0</td><td><tt>LC_<i>i</i>[17]</tt></td></tr> +<tr><td align="center">0</td><td align="center">1</td><td align="center">1</td><td align="center">1</td><td><tt>LC_<i>i</i>[7]</tt></td></tr> +<tr><td align="center">1</td><td align="center">0</td><td align="center">0</td><td align="center">0</td><td><tt>LC_<i>i</i>[3]</tt></td></tr> +<tr><td align="center">1</td><td align="center">0</td><td align="center">0</td><td align="center">1</td><td><tt>LC_<i>i</i>[13]</tt></td></tr> +<tr><td align="center">1</td><td align="center">0</td><td align="center">1</td><td align="center">0</td><td><tt>LC_<i>i</i>[12]</tt></td></tr> +<tr><td align="center">1</td><td align="center">0</td><td align="center">1</td><td align="center">1</td><td><tt>LC_<i>i</i>[2]</tt></td></tr> +<tr><td align="center">1</td><td align="center">1</td><td align="center">0</td><td align="center">0</td><td><tt>LC_<i>i</i>[1]</tt></td></tr> +<tr><td align="center">1</td><td align="center">1</td><td align="center">0</td><td align="center">1</td><td><tt>LC_<i>i</i>[11]</tt></td></tr> +<tr><td align="center">1</td><td align="center">1</td><td align="center">1</td><td align="center">0</td><td><tt>LC_<i>i</i>[10]</tt></td></tr> +<tr><td align="center">1</td><td align="center">1</td><td align="center">1</td><td align="center">1</td><td><tt>LC_<i>i</i>[0]</tt></td></tr> +</table> +</p> + +<p> +LUT inputs that are not connected to anything are driven low. The set/reset +signal is also driven low if not connected to any other driver, and the clock +enable signal is driven high when left unconnected. +</p> + diff --git a/docs/ram_tile.html b/docs/ram_tile.html new file mode 100644 index 0000000..3121f57 --- /dev/null +++ b/docs/ram_tile.html @@ -0,0 +1,95 @@ +<title>Project IceStorm – RAM Tile Documentation</title> +<h1>Project IceStorm – RAM Tile Documentation</h1> + +<p> +<i><a href=".">Project IceStorm</a> aims at documenting the bitstream format of Lattice iCE40 +FPGAs and providing simple tools for analyzing and creating bitstream files. +This is work in progress.</i> +</p> + +<h2>Span-4 and Span-12 Wires</h2> + +<p> +Regarding the Span-4 and Span-12 Wires a RAM tile behaves exactly like a LOGIC tile. So for simple +applications that do not need the block ram resources, the RAM tiles can be handled like a LOGIC +tiles without logic cells in them. +</p> + +<h2>Block RAM Resources</h2> + +<p> +A pair or RAM tiles (odd and even y-coordinates) provides an interface to a block ram cell. Like with +LOGIC tiles, signals entering the RAM tile have to be routed over local tracks to the block ram +inputs. Tiles with odd y-coordinates are "bottom" RAM Tiles (RAMB Tiles), and tiles with even y-coordinates +are "top" RAM Tiles (RAMT Tiles). Each pair of RAMB/RAMT tiles implements a <tt>SB_RAM40_4K</tt> cell. The +cell ports are spread out over the two tiles as follows: +</p> + +<p align="center"> +<table cellpadding="3" border> +<tr><th>SB_RAM40_4K</th><th>RAMB Tile</th><th>RAMT Tile</th></tr> +<tr><td><tt>RDATA[15:0]</tt></td><td><tt>RDATA[7:0]</tt></td><td><tt>RDATA[15:8]</tt></td></tr> +<tr><td><tt>RADDR[10:0]</tt></td><td><tt>-</tt></td><td><tt>RADDR[10:0]</tt></td></tr> +<tr><td><tt>WADDR[10:0]</tt></td><td><tt>WADDR[10:0]</tt></td><td><tt>-</tt></td></tr> +<tr><td><tt>MASK[15:0]</tt></td><td><tt>MASK[7:0]</tt></td><td><tt>MASK[15:8]</tt></td></tr> +<tr><td><tt>WDATA[15:0]</tt></td><td><tt>WDATA[7:0]</tt></td><td><tt>WDATA[15:8]</tt></td></tr> +<tr><td><tt>RCLKE</tt></td><td><tt>-</tt></td><td><tt>RCLKE</tt></td></tr> +<tr><td><tt>RCLK</tt></td><td><tt>-</tt></td><td><tt>RCLK</tt></td></tr> +<tr><td><tt>RE</tt></td><td><tt>-</tt></td><td><tt>RE</tt></td></tr> +<tr><td><tt>WCLKE</tt></td><td><tt>WCLKE</tt></td><td><tt>-</tt></td></tr> +<tr><td><tt>WCLK</tt></td><td><tt>WCLK</tt></td><td><tt>-</tt></td></tr> +<tr><td><tt>WE</tt></td><td><tt>WE</tt></td><td><tt>-</tt></td></tr> +</table> +</p> + +<p> +The configuration bit <tt>RamConfig PowerUp</tt> in the RAMB tile enables the memory. This bit +is active-low in 1k chips, i.e. an unused RAM block has only this bit set. Note that <tt>icebox_explain.py</tt> +will ignore all RAMB tiles that only have the <tt>RamConfig PowerUp</tt> bit set. +</p> + +<p> +In 8k chips the <tt>RamConfig PowerUp</tt> bit is active-high. So an unused RAM block has all bits cleared +in the 8k config bitstream. +</p> + +<p> +The <tt>RamConfig CBIT_*</tt> bits in the RAMT tile configure the read/write width of the +memory. Those bits map to the <tt>SB_RAM40_4K</tt> cell parameters as follows: +</p> + +<p align="center"> +<table cellpadding="3" border> +<tr><th>SB_RAM40_4K</th><th>RAMT Config Bit</th></tr> +<tr><td><tt>WRITE_MODE[0]</tt></td><td><tt>RamConfig CBIT_0</tt></td></tr> +<tr><td><tt>WRITE_MODE[1]</tt></td><td><tt>RamConfig CBIT_1</tt></td></tr> +<tr><td><tt>READ_MODE[0]</tt></td><td><tt>RamConfig CBIT_2</tt></td></tr> +<tr><td><tt>READ_MODE[1]</tt></td><td><tt>RamConfig CBIT_3</tt></td></tr> +</table> +</p> + +<p> +The read/write mode selects the width of the read/write port: +</p> + +<p align="center"> +<table cellpadding="3" border> +<tr><th>MODE</th><th>DATA Width</th><th>Used WDATA/RDATA Bits</th></tr> +<tr><td>0</td><td>16</td><td>15, 14, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 1, 0</td></tr> +<tr><td>1</td><td>8</td><td>14, 12, 10, 8, 6, 4, 2, 0</td></tr> +<tr><td>2</td><td>4</td><td>13, 9, 5, 1</td></tr> +<tr><td>3</td><td>2</td><td>11, 3</td></tr> +</table> +</p> + +<p> +The <tt>NegClk</tt> bit in the RAMB tile negates the polarity of the <tt>WCLK</tt> port, +and the <tt>NegClk</tt> bit in the RAMT tile negates the polarity of the <tt>RCLK</tt> port. +</p> + +<p> +A logic tile sends the output of its eight logic cells to its neighbour tiles. A RAM tile does the same thing +with the <tt>RDATA</tt> outputs. Each RAMB tile exports its <tt>RDATA[7:0]</tt> outputs and each RAMT tile +exports its <tt>RDATA[15:8]</tt> outputs via this mechanism. +</p> + diff --git a/docs/sp4h.svg b/docs/sp4h.svg new file mode 100644 index 0000000..cd074eb --- /dev/null +++ b/docs/sp4h.svg @@ -0,0 +1,2076 @@ +<?xml version="1.0" encoding="UTF-8" standalone="no"?> +<!-- Created with Inkscape (http://www.inkscape.org/) --> + +<svg + xmlns:dc="http://purl.org/dc/elements/1.1/" + xmlns:cc="http://creativecommons.org/ns#" + xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#" + xmlns:svg="http://www.w3.org/2000/svg" + xmlns="http://www.w3.org/2000/svg" + xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd" + xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape" + width="376" + height="776.64227" + id="svg2" + version="1.1" + inkscape:version="0.48.4 r9939" + sodipodi:docname="sp4h.svg"> + <defs + id="defs4" /> + <sodipodi:namedview + id="base" + pagecolor="#ffffff" + bordercolor="#666666" + borderopacity="1.0" + inkscape:pageopacity="0.0" + inkscape:pageshadow="2" + inkscape:zoom="1.4" + inkscape:cx="243.87282" + inkscape:cy="282.93404" + inkscape:document-units="px" + inkscape:current-layer="layer1" + showgrid="true" + inkscape:snap-global="true" + inkscape:snap-grids="true" + inkscape:window-width="1855" + inkscape:window-height="1056" + inkscape:window-x="65" + inkscape:window-y="24" + inkscape:window-maximized="1" + inkscape:snap-object-midpoints="false" + fit-margin-top="0" + fit-margin-left="0" + fit-margin-right="0" + fit-margin-bottom="0"> + <inkscape:grid + type="xygrid" + id="grid2985" + empspacing="5" + visible="true" + enabled="true" + snapvisiblegridlinesonly="true" + originx="-149.5px" + originy="-203.48554px" /> + </sodipodi:namedview> + <metadata + id="metadata7"> + <rdf:RDF> + <cc:Work + rdf:about=""> + <dc:format>image/svg+xml</dc:format> + <dc:type + rdf:resource="http://purl.org/dc/dcmitype/StillImage" /> + <dc:title /> + </cc:Work> + </rdf:RDF> + </metadata> + <g + inkscape:label="Layer 1" + inkscape:groupmode="layer" + id="layer1" + transform="translate(-149.5,-72.234353)"> + <rect + style="fill:#0000ff;fill-opacity:0;fill-rule:evenodd;stroke:#000000;stroke-width:2.20405388px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" + id="rect2987" + width="323.67355" + height="774.43823" + x="175.87085" + y="73.33638" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 150,97.362183 105,0" + id="path3757" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190184px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="180" + y="92.362183" + id="text3759" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan3761" + x="180" + y="92.362183">sp4_l_47</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 150,112.36218 105,0" + id="path3757-4" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190184px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="180" + y="107.36218" + id="text3759-0" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan3761-0" + x="180" + y="107.36218">sp4_l_46</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 150,127.36218 105,0" + id="path3757-3" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190184px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="180" + y="122.36218" + id="text3759-1" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan3761-9" + x="180" + y="122.36218">sp4_l_45</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3822" + d="m 150,142.36218 105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text3824" + y="137.36218" + x="180" + style="font-size:7.53190184px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="137.36218" + x="180" + id="tspan3826" + sodipodi:role="line">sp4_l_44</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 150,157.36218 105,0" + id="path3828" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190184px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="180" + y="152.36218" + id="text3830" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan3832" + x="180" + y="152.36218">sp4_l_43</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3834" + d="m 150,172.36218 105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text3836" + y="167.36218" + x="180" + style="font-size:7.53190184px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="167.36218" + x="180" + id="tspan3838" + sodipodi:role="line">sp4_l_42</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 150,187.36218 105,0" + id="path3840" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190184px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="180" + y="182.36218" + id="text3842" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan3844" + x="180" + y="182.36218">sp4_l_41</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3846" + d="m 150,202.36218 105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text3848" + y="197.36218" + x="180" + style="font-size:7.53190184px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="197.36218" + x="180" + id="tspan3850" + sodipodi:role="line">sp4_l_40</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 150,217.36218 105,0" + id="path3852" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190184px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="180" + y="212.36218" + id="text3854" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan3856" + x="180" + y="212.36218">sp4_l_39</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3858" + d="m 150,232.36218 105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text3860" + y="227.36218" + x="180" + style="font-size:7.53190184px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="227.36218" + x="180" + id="tspan3862" + sodipodi:role="line">sp4_l_38</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 150,247.36218 105,0" + id="path3864" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190184px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="180" + y="242.36218" + id="text3866" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan3868" + x="180" + y="242.36218">sp4_l_37</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3870" + d="m 150,262.36218 105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text3872" + y="257.36218" + x="180" + style="font-size:7.53190184px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="257.36218" + x="180" + id="tspan3874" + sodipodi:role="line">sp4_l_36</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3922" + d="m 150,287.36218 105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text3924" + y="282.36218" + x="180" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="282.36218" + x="180" + id="tspan3926" + sodipodi:role="line">sp4_l_35</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3928" + d="m 150,302.36218 105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text3930" + y="297.36218" + x="180" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="297.36218" + x="180" + id="tspan3932" + sodipodi:role="line">sp4_l_34</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3934" + d="m 150,317.36218 105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text3936" + y="312.36218" + x="180" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="312.36218" + x="180" + id="tspan3938" + sodipodi:role="line">sp4_l_33</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 150,332.36218 105,0" + id="path3940" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + x="180" + y="327.36218" + id="text3942" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan3944" + x="180" + y="327.36218">sp4_l_32</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3946" + d="m 150,347.36218 105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text3948" + y="342.36218" + x="180" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="342.36218" + x="180" + id="tspan3950" + sodipodi:role="line">sp4_l_31</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 150,362.36218 105,0" + id="path3952" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + x="180" + y="357.36218" + id="text3954" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan3956" + x="180" + y="357.36218">sp4_l_30</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3958" + d="m 150,377.36218 105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text3960" + y="372.36218" + x="180" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="372.36218" + x="180" + id="tspan3962" + sodipodi:role="line">sp4_l_29</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 150,392.36218 105,0" + id="path3964" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + x="180" + y="387.36218" + id="text3966" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan3968" + x="180" + y="387.36218">sp4_l_28</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3970" + d="m 150,407.36218 105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text3972" + y="402.36218" + x="180" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="402.36218" + x="180" + id="tspan3974" + sodipodi:role="line">sp4_l_27</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 150,422.36218 105,0" + id="path3976" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + x="180" + y="417.36218" + id="text3978" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan3980" + x="180" + y="417.36218">sp4_l_26</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3982" + d="m 150,437.36218 105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text3984" + y="432.36218" + x="180" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="432.36218" + x="180" + id="tspan3986" + sodipodi:role="line">sp4_l_25</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 150,452.36218 105,0" + id="path3988" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + x="180" + y="447.36218" + id="text3990" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan3992" + x="180" + y="447.36218">sp4_l_24</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path3994" + d="m 150,477.36218 105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text3996" + y="472.36218" + x="180" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="472.36218" + x="180" + id="tspan3998" + sodipodi:role="line">sp4_l_23</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4000" + d="m 150,492.36218 105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4002" + y="487.36218" + x="180" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="487.36218" + x="180" + id="tspan4004" + sodipodi:role="line">sp4_l_22</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4006" + d="m 150,507.36218 105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4008" + y="502.36218" + x="180" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="502.36218" + x="180" + id="tspan4010" + sodipodi:role="line">sp4_l_21</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 150,522.36218 105,0" + id="path4012" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + x="180" + y="517.36218" + id="text4014" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4016" + x="180" + y="517.36218">sp4_l_20</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4018" + d="m 150,537.36218 105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4020" + y="532.36218" + x="180" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="532.36218" + x="180" + id="tspan4022" + sodipodi:role="line">sp4_l_19</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 150,552.36218 105,0" + id="path4024" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + x="180" + y="547.36218" + id="text4026" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4028" + x="180" + y="547.36218">sp4_l_18</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4030" + d="m 150,567.36218 105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4032" + y="562.36218" + x="180" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="562.36218" + x="180" + id="tspan4034" + sodipodi:role="line">sp4_l_17</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 150,582.36218 105,0" + id="path4036" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + x="180" + y="577.36218" + id="text4038" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4040" + x="180" + y="577.36218">sp4_l_16</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4042" + d="m 150,597.36218 105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4044" + y="592.36218" + x="180" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="592.36218" + x="180" + id="tspan4046" + sodipodi:role="line">sp4_l_15</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 150,612.36218 105,0" + id="path4048" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + x="180" + y="607.36218" + id="text4050" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4052" + x="180" + y="607.36218">sp4_l_14</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4054" + d="m 150,627.36218 105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4056" + y="622.36218" + x="180" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="622.36218" + x="180" + id="tspan4058" + sodipodi:role="line">sp4_l_13</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 150,642.36218 105,0" + id="path4060" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + x="180" + y="637.36218" + id="text4062" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4064" + x="180" + y="637.36218">sp4_l_12</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 150,667.36218 105,0" + id="path4066" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + x="180" + y="662.36218" + id="text4068" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4070" + x="180" + y="662.36218">sp4_l_11</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 150,682.36218 105,0" + id="path4072" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + x="180" + y="677.36218" + id="text4074" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4076" + x="180" + y="677.36218">sp4_l_10</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 150,697.36218 105,0" + id="path4078" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + x="180" + y="692.36218" + id="text4080" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4082" + x="180" + y="692.36218">sp4_l_9</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4084" + d="m 150,712.36218 105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4086" + y="707.36218" + x="180" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="707.36218" + x="180" + id="tspan4088" + sodipodi:role="line">sp4_l_8</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 150,727.36218 105,0" + id="path4090" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + x="180" + y="722.36218" + id="text4092" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4094" + x="180" + y="722.36218">sp4_l_7</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4096" + d="m 150,742.36218 105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4098" + y="737.36218" + x="180" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="737.36218" + x="180" + id="tspan4100" + sodipodi:role="line">sp4_l_6</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 150,757.36218 105,0" + id="path4102" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + x="180" + y="752.36218" + id="text4104" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4106" + x="180" + y="752.36218">sp4_l_5</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4108" + d="m 150,772.36218 105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4110" + y="767.36218" + x="180" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="767.36218" + x="180" + id="tspan4112" + sodipodi:role="line">sp4_l_4</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 150,787.36218 105,0" + id="path4114" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + x="180" + y="782.36218" + id="text4116" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4118" + x="180" + y="782.36218">sp4_l_3</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4120" + d="m 150,802.36218 105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4122" + y="797.36218" + x="180" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="797.36218" + x="180" + id="tspan4124" + sodipodi:role="line">sp4_l_2</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 150,817.36218 105,0" + id="path4126" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + x="180" + y="812.36218" + id="text4128" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4130" + x="180" + y="812.36218">sp4_l_1</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4132" + d="m 150,832.36218 105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4134" + y="827.36218" + x="180" + style="font-size:7.53190183999999885px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="827.36218" + x="180" + id="tspan4136" + sodipodi:role="line">sp4_l_0</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4157" + d="m 525,97.36218 -105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4159" + y="92.362183" + x="460" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="92.362183" + x="460" + id="tspan4161" + sodipodi:role="line">sp4_r_47</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4163" + d="m 525,112.36218 -105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4165" + y="107.36218" + x="460" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="107.36218" + x="460" + id="tspan4167" + sodipodi:role="line">sp4_r_46</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4169" + d="m 525,127.36218 -105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4171" + y="122.36218" + x="460" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="122.36218" + x="460" + id="tspan4173" + sodipodi:role="line">sp4_r_45</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 525,142.36218 -105,0" + id="path4175" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="460" + y="137.36218" + id="text4177" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4179" + x="460" + y="137.36218">sp4_r_44</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4181" + d="m 525,157.36218 -105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4183" + y="152.36218" + x="460" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="152.36218" + x="460" + id="tspan4185" + sodipodi:role="line">sp4_r_43</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 525,172.36218 -105,0" + id="path4187" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="460" + y="167.36218" + id="text4189" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4191" + x="460" + y="167.36218">sp4_r_42</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4193" + d="m 525,187.36218 -105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4195" + y="182.36218" + x="460" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="182.36218" + x="460" + id="tspan4197" + sodipodi:role="line">sp4_r_41</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 525,202.36218 -105,0" + id="path4199" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="460" + y="197.36218" + id="text4201" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4203" + x="460" + y="197.36218">sp4_r_40</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4205" + d="m 525,217.36218 -105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4207" + y="212.36218" + x="460" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="212.36218" + x="460" + id="tspan4209" + sodipodi:role="line">sp4_r_39</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 525,232.36218 -105,0" + id="path4211" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="460" + y="227.36218" + id="text4213" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4215" + x="460" + y="227.36218">sp4_r_38</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4217" + d="m 525,247.36218 -105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4219" + y="242.36218" + x="460" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="242.36218" + x="460" + id="tspan4221" + sodipodi:role="line">sp4_r_37</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 525,262.36218 -105,0" + id="path4223" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="460" + y="257.36218" + id="text4225" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4227" + x="460" + y="257.36218">sp4_r_36</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 525,287.36218 -105,0" + id="path4229" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="460" + y="282.36218" + id="text4231" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4233" + x="460" + y="282.36218">sp4_r_35</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 525,302.36218 -105,0" + id="path4235" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="460" + y="297.36218" + id="text4237" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4239" + x="460" + y="297.36218">sp4_r_34</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 525,317.36218 -105,0" + id="path4241" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="460" + y="312.36218" + id="text4243" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4245" + x="460" + y="312.36218">sp4_r_33</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4247" + d="m 525,332.36218 -105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4249" + y="327.36218" + x="460" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="327.36218" + x="460" + id="tspan4251" + sodipodi:role="line">sp4_r_32</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 525,347.36218 -105,0" + id="path4253" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="460" + y="342.36218" + id="text4255" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4257" + x="460" + y="342.36218">sp4_r_31</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4259" + d="m 525,362.36218 -105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4261" + y="357.36218" + x="460" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="357.36218" + x="460" + id="tspan4263" + sodipodi:role="line">sp4_r_30</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 525,377.36218 -105,0" + id="path4265" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="460" + y="372.36218" + id="text4267" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4269" + x="460" + y="372.36218">sp4_r_29</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4271" + d="m 525,392.36218 -105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4273" + y="387.36218" + x="460" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="387.36218" + x="460" + id="tspan4275" + sodipodi:role="line">sp4_r_28</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 525,407.36218 -105,0" + id="path4277" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="460" + y="402.36218" + id="text4279" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4281" + x="460" + y="402.36218">sp4_r_27</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4283" + d="m 525,422.36218 -105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4285" + y="417.36218" + x="460" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="417.36218" + x="460" + id="tspan4287" + sodipodi:role="line">sp4_r_26</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 525,437.36218 -105,0" + id="path4289" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="460" + y="432.36218" + id="text4291" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4293" + x="460" + y="432.36218">sp4_r_25</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4295" + d="m 525,452.36218 -105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4297" + y="447.36218" + x="460" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="447.36218" + x="460" + id="tspan4299" + sodipodi:role="line">sp4_r_24</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 525,477.36218 -105,0" + id="path4301" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="460" + y="472.36218" + id="text4303" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4305" + x="460" + y="472.36218">sp4_r_23</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 525,492.36218 -105,0" + id="path4307" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="460" + y="487.36218" + id="text4309" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4311" + x="460" + y="487.36218">sp4_r_22</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 525,507.36218 -105,0" + id="path4313" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="460" + y="502.36218" + id="text4315" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4317" + x="460" + y="502.36218">sp4_r_21</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4319" + d="m 525,522.36218 -105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4321" + y="517.36218" + x="460" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="517.36218" + x="460" + id="tspan4323" + sodipodi:role="line">sp4_r_20</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 525,537.36218 -105,0" + id="path4325" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="460" + y="532.36218" + id="text4327" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4329" + x="460" + y="532.36218">sp4_r_19</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4331" + d="m 525,552.36218 -105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4333" + y="547.36218" + x="460" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="547.36218" + x="460" + id="tspan4335" + sodipodi:role="line">sp4_r_18</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 525,567.36218 -105,0" + id="path4337" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="460" + y="562.36218" + id="text4339" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4341" + x="460" + y="562.36218">sp4_r_17</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4343" + d="m 525,582.36218 -105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4345" + y="577.36218" + x="460" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="577.36218" + x="460" + id="tspan4347" + sodipodi:role="line">sp4_r_16</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 525,597.36218 -105,0" + id="path4349" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="460" + y="592.36218" + id="text4351" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4353" + x="460" + y="592.36218">sp4_r_15</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4355" + d="m 525,612.36218 -105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4357" + y="607.36218" + x="460" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="607.36218" + x="460" + id="tspan4359" + sodipodi:role="line">sp4_r_14</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 525,627.36218 -105,0" + id="path4361" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="460" + y="622.36218" + id="text4363" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4365" + x="460" + y="622.36218">sp4_r_13</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4367" + d="m 525,642.36218 -105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4369" + y="637.36218" + x="460" + style="font-size:7.53190183999999974px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="637.36218" + x="460" + id="tspan4371" + sodipodi:role="line">sp4_r_12</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4373" + d="m 525,667.36218 -105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4375" + y="662.36218" + x="460" + style="font-size:7.53190184px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="662.36218" + x="460" + id="tspan4377" + sodipodi:role="line">sp4_r_11</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4379" + d="m 525,682.36218 -105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4381" + y="677.36218" + x="460" + style="font-size:7.53190184px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="677.36218" + x="460" + id="tspan4383" + sodipodi:role="line">sp4_r_10</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4385" + d="m 525,697.36218 -105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4387" + y="692.36218" + x="460" + style="font-size:7.53190184px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="692.36218" + x="460" + id="tspan4389" + sodipodi:role="line">sp4_r_9</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 525,712.36218 -105,0" + id="path4391" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190184px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="460" + y="707.36218" + id="text4393" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4395" + x="460" + y="707.36218">sp4_r_8</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4397" + d="m 525,727.36218 -105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4399" + y="722.36218" + x="460" + style="font-size:7.53190184px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="722.36218" + x="460" + id="tspan4401" + sodipodi:role="line">sp4_r_7</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 525,742.36218 -105,0" + id="path4403" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190184px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="460" + y="737.36218" + id="text4405" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4407" + x="460" + y="737.36218">sp4_r_6</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4409" + d="m 525,757.36218 -105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4411" + y="752.36218" + x="460" + style="font-size:7.53190184px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="752.36218" + x="460" + id="tspan4413" + sodipodi:role="line">sp4_r_5</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 525,772.36218 -105,0" + id="path4415" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190184px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="460" + y="767.36218" + id="text4417" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4419" + x="460" + y="767.36218">sp4_r_4</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4421" + d="m 525,787.36218 -105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4423" + y="782.36218" + x="460" + style="font-size:7.53190184px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="782.36218" + x="460" + id="tspan4425" + sodipodi:role="line">sp4_r_3</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 525,802.36218 -105,0" + id="path4427" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190184px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="460" + y="797.36218" + id="text4429" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4431" + x="460" + y="797.36218">sp4_r_2</tspan></text> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path4433" + d="m 525,817.36218 -105,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + sodipodi:linespacing="125%" + id="text4435" + y="812.36218" + x="460" + style="font-size:7.53190184px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + y="812.36218" + x="460" + id="tspan4437" + sodipodi:role="line">sp4_r_1</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 525,832.36218 -105,0" + id="path4439" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.53190184px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="460" + y="827.36218" + id="text4441" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + id="tspan4443" + x="460" + y="827.36218">sp4_r_0</tspan></text> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" + d="m 255,832.36218 135,-190" + id="path4445" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path4629" + d="m 255.23722,817.26985 135,-190" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" /> + <path + inkscape:connector-curvature="0" + id="path4631" + d="m 255.31567,802.32071 135,-190" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" + d="m 255.23722,787.29152 135,-190" + id="path4633" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path4635" + d="m 255.29401,772.29905 135,-190" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" + d="m 255.21555,757.33298 135,-190" + id="path4637" + inkscape:connector-curvature="0" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" + d="m 255.29401,742.25758 135,-190" + id="path4639" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path4641" + d="m 255.21555,727.29152 135,-190" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" /> + <path + inkscape:connector-curvature="0" + id="path4643" + d="m 255.37881,712.28029 135,-190" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" + d="m 255.23722,697.31423 135,-190" + id="path4645" + inkscape:connector-curvature="0" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" + d="m 255.44195,682.30196 135,-190" + id="path4647" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path4649" + d="m 255.36349,667.20963 135,-190" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" /> + <path + inkscape:connector-curvature="0" + id="path4659" + d="m 255.14794,642.31957 135,-190" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" + d="m 255.38516,627.22724 135,-190" + id="path4661" + inkscape:connector-curvature="0" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" + d="m 255.46361,612.2781 135,-190" + id="path4663" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path4665" + d="m 255.38516,597.24891 135,-190" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" + d="m 255.44195,582.25644 135,-190" + id="path4667" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path4669" + d="m 255.36349,567.29037 135,-190" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" /> + <path + inkscape:connector-curvature="0" + id="path4671" + d="m 255.44195,552.21497 135,-190" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" + d="m 255.36349,537.24891 135,-190" + id="path4673" + inkscape:connector-curvature="0" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" + d="m 255.52675,522.23768 135,-190" + id="path4675" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path4677" + d="m 255.38516,507.27162 135,-190" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" /> + <path + inkscape:connector-curvature="0" + id="path4679" + d="m 255.58989,492.25935 135,-190" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" + d="m 255.51143,477.16702 135,-190" + id="path4681" + inkscape:connector-curvature="0" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" + d="m 255.21107,452.34775 135,-190" + id="path4760" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path4762" + d="m 255.44829,437.25542 135,-190" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" /> + <path + inkscape:connector-curvature="0" + id="path4764" + d="m 255.52674,422.30628 135,-190" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" + d="m 255.44829,407.27709 135,-190" + id="path4766" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path4768" + d="m 255.50508,392.28462 135,-190" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" + d="m 255.42662,377.31855 135,-190" + id="path4770" + inkscape:connector-curvature="0" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" + d="m 255.50508,362.24315 135,-190" + id="path4772" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path4774" + d="m 255.42662,347.27709 135,-190" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" /> + <path + inkscape:connector-curvature="0" + id="path4776" + d="M 255.58988,332.26586 390,142.36218" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" + sodipodi:nodetypes="cc" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" + d="M 255.44829,317.2998 390,127.36218" + id="path4778" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" + d="M 255.65302,302.28753 390,112.36218" + id="path4780" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + inkscape:connector-curvature="0" + id="path4782" + d="M 255.57456,287.1952 390,97.362183" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" + sodipodi:nodetypes="cc" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 390,97.362183 10,0 10,14.999997 10,0" + id="path4784" + inkscape:connector-curvature="0" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 390.12627,127.37805 10,0 10,15 10,0" + id="path4788" + inkscape:connector-curvature="0" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 390.50508,157.30382 10,0 10,15 10,0" + id="path4792" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path4819" + d="m 390.63134,172.30383 10,0 10,-15 10,0" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + inkscape:connector-curvature="0" + id="path4821" + d="m 390.25254,142.28796 10,0 10,-15 10,0" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + inkscape:connector-curvature="0" + id="path4823" + d="m 390.25254,112.23591 10,0 10,-14.999996 10,0" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + inkscape:connector-curvature="0" + id="path4825" + d="m 390.25254,187.39203 10,0 10,15 10,0" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + inkscape:connector-curvature="0" + id="path4827" + d="m 390.50508,217.34477 10,0 10,15 10,0" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + inkscape:connector-curvature="0" + id="path4829" + d="m 390.75762,247.33367 10,0 10,15 10,0" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 390.44194,262.33368 10,0 10,-15 10,0" + id="path4831" + inkscape:connector-curvature="0" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 390.50508,232.31781 10,0 10,-15 10,0" + id="path4833" + inkscape:connector-curvature="0" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 390.50508,202.26576 10,0 10,-15 10,0" + id="path4835" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path4849" + d="m 390.359,287.29905 10,0 10,15 10,0" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + inkscape:connector-curvature="0" + id="path4851" + d="m 390.48527,317.31492 10,0 10,15 10,0" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + inkscape:connector-curvature="0" + id="path4853" + d="m 390.48527,347.30382 10,0 10,15 10,0" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 390.48526,362.30383 10,0 10,-15 10,0" + id="path4855" + inkscape:connector-curvature="0" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 390.48527,332.35109 10,0 10,-15 10,0" + id="path4857" + inkscape:connector-curvature="0" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 390.67467,302.42531 10,0 10,-15 10,0" + id="path4859" + inkscape:connector-curvature="0" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 390.48527,377.39203 10,0 10,15 10,0" + id="path4861" + inkscape:connector-curvature="0" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 390.5484,407.34477 10,0 10,15 10,0" + id="path4863" + inkscape:connector-curvature="0" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 390.359,437.27054 10,0 10,15 10,0" + id="path4865" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path4867" + d="m 390.16959,452.33368 10,0 10,-15 10,0" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + inkscape:connector-curvature="0" + id="path4869" + d="m 390.48527,422.38094 10,0 10,-15 10,0" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + inkscape:connector-curvature="0" + id="path4871" + d="m 390.5484,392.26576 10,0 10,-15 10,0" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 390.40046,477.36218 10,0 10,15 10,0" + id="path4873" + inkscape:connector-curvature="0" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 390.52673,507.37805 10,0 10,15 10,0" + id="path4875" + inkscape:connector-curvature="0" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 390.65301,537.36695 10,0 10,15 10,0" + id="path4877" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path4879" + d="m 390.27419,552.36696 10,0 10,-15 10,0" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + inkscape:connector-curvature="0" + id="path4881" + d="m 390.40047,522.28796 10,0 10,-15 10,0" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + inkscape:connector-curvature="0" + id="path4883" + d="m 390.40047,492.36218 10,0 10,-15 10,0" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + inkscape:connector-curvature="0" + id="path4885" + d="m 390.2742,567.39203 10,0 10,15 10,0" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + inkscape:connector-curvature="0" + id="path4887" + d="m 390.33733,597.28163 10,0 10,15 10,0" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + inkscape:connector-curvature="0" + id="path4889" + d="m 390.40047,627.33367 10,0 10,15 10,0" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 390.21106,642.33368 10,0 10,-15 10,0" + id="path4891" + inkscape:connector-curvature="0" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 390.52674,612.38094 10,0 10,-15 10,0" + id="path4893" + inkscape:connector-curvature="0" /> + <path + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" + d="m 390.14793,582.26576 10,0 10,-15 10,0" + id="path4895" + inkscape:connector-curvature="0" /> + </g> +</svg> diff --git a/docs/sp4v.svg b/docs/sp4v.svg new file mode 100644 index 0000000..2d4a5b0 --- /dev/null +++ b/docs/sp4v.svg @@ -0,0 +1,3982 @@ +<?xml version="1.0" encoding="UTF-8" standalone="no"?> +<!-- Created with Inkscape (http://www.inkscape.org/) --> + +<svg + xmlns:dc="http://purl.org/dc/elements/1.1/" + xmlns:cc="http://creativecommons.org/ns#" + xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#" + xmlns:svg="http://www.w3.org/2000/svg" + xmlns="http://www.w3.org/2000/svg" + xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd" + xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape" + width="1331.3245" + height="1070.8438" + id="svg4897" + version="1.1" + inkscape:version="0.48.4 r9939" + sodipodi:docname="sp4v.svg"> + <defs + id="defs4899"> + <inkscape:path-effect + effect="skeletal" + id="path-effect6491" + is_visible="true" + pattern="M 0,5 10,10 10,0 z" + copytype="single_stretched" + prop_scale="1" + scale_y_rel="false" + spacing="0" + normal_offset="0" + tang_offset="0" + prop_units="false" + vertical_pattern="false" + fuse_tolerance="0" /> + <inkscape:path-effect + effect="skeletal" + id="path-effect6487" + is_visible="true" + pattern="M 0,5 10,10 10,0 z" + copytype="single_stretched" + prop_scale="1" + scale_y_rel="false" + spacing="0" + normal_offset="0" + tang_offset="0" + prop_units="false" + vertical_pattern="false" + fuse_tolerance="0" /> + <marker + inkscape:stockid="Tail" + orient="auto" + refY="0" + refX="0" + id="Tail" + style="overflow:visible"> + <g + id="g5479" + transform="scale(-1.2,-1.2)"> + <path + id="path5481" + d="M -3.8048674,-3.9585227 0.54352094,0" + style="fill:none;stroke:#000000;stroke-width:0.80000001;stroke-linecap:round" + inkscape:connector-curvature="0" /> + <path + id="path5483" + d="M -1.2866832,-3.9585227 3.0617053,0" + style="fill:none;stroke:#000000;stroke-width:0.80000001;stroke-linecap:round" + inkscape:connector-curvature="0" /> + <path + id="path5485" + d="M 1.3053582,-3.9585227 5.6537466,0" + style="fill:none;stroke:#000000;stroke-width:0.80000001;stroke-linecap:round" + inkscape:connector-curvature="0" /> + <path + id="path5487" + d="M -3.8048674,4.1775838 0.54352094,0.21974226" + style="fill:none;stroke:#000000;stroke-width:0.80000001;stroke-linecap:round" + inkscape:connector-curvature="0" /> + <path + id="path5489" + d="M -1.2866832,4.1775838 3.0617053,0.21974226" + style="fill:none;stroke:#000000;stroke-width:0.80000001;stroke-linecap:round" + inkscape:connector-curvature="0" /> + <path + id="path5491" + d="M 1.3053582,4.1775838 5.6537466,0.21974226" + style="fill:none;stroke:#000000;stroke-width:0.80000001;stroke-linecap:round" + inkscape:connector-curvature="0" /> + </g> + </marker> + <marker + inkscape:stockid="Arrow1Sstart" + orient="auto" + refY="0" + refX="0" + id="Arrow1Sstart" + style="overflow:visible"> + <path + id="path5455" + d="M 0,0 5,-5 -12.5,0 5,5 0,0 z" + style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt" + transform="matrix(0.2,0,0,0.2,1.2,0)" + inkscape:connector-curvature="0" /> + </marker> + <marker + inkscape:stockid="DotL" + orient="auto" + refY="0" + refX="0" + id="DotL" + style="overflow:visible"> + <path + id="path5504" + d="m -2.5,-1 c 0,2.76 -2.24,5 -5,5 -2.76,0 -5,-2.24 -5,-5 0,-2.76 2.24,-5 5,-5 2.76,0 5,2.24 5,5 z" + style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt" + transform="matrix(0.8,0,0,0.8,5.92,0.8)" + inkscape:connector-curvature="0" /> + </marker> + <marker + inkscape:stockid="Arrow1Lstart" + orient="auto" + refY="0" + refX="0" + id="Arrow1Lstart" + style="overflow:visible"> + <path + id="path5443" + d="M 0,0 5,-5 -12.5,0 5,5 0,0 z" + style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt" + transform="matrix(0.8,0,0,0.8,10,0)" + inkscape:connector-curvature="0" /> + </marker> + <inkscape:path-effect + effect="skeletal" + id="path-effect5437" + is_visible="true" + pattern="M 0,5 10,10 10,0 z" + copytype="single_stretched" + prop_scale="1" + scale_y_rel="false" + spacing="0" + normal_offset="0" + tang_offset="0" + prop_units="false" + vertical_pattern="false" + fuse_tolerance="0" /> + <inkscape:path-effect + effect="skeletal" + id="path-effect5433" + is_visible="true" + pattern="M 0,5 10,10 10,0 z" + copytype="single_stretched" + prop_scale="1" + scale_y_rel="false" + spacing="0" + normal_offset="0" + tang_offset="0" + prop_units="false" + vertical_pattern="false" + fuse_tolerance="0" /> + <inkscape:path-effect + effect="skeletal" + id="path-effect5425" + is_visible="true" + pattern="M 0,5 10,10 10,0 z" + copytype="single_stretched" + prop_scale="1" + scale_y_rel="false" + spacing="0" + normal_offset="0" + tang_offset="0" + prop_units="false" + vertical_pattern="false" + fuse_tolerance="0" /> + <inkscape:path-effect + effect="skeletal" + id="path-effect4913" + is_visible="true" + pattern="M 0,5 10,10 10,0 z" + copytype="single_stretched" + prop_scale="1" + scale_y_rel="false" + spacing="0" + normal_offset="0" + tang_offset="0" + prop_units="false" + vertical_pattern="false" + fuse_tolerance="0" /> + </defs> + <sodipodi:namedview + id="base" + pagecolor="#ffffff" + bordercolor="#666666" + borderopacity="1.0" + inkscape:pageopacity="0.0" + inkscape:pageshadow="2" + inkscape:zoom="0.70710678" + inkscape:cx="505.98273" + inkscape:cy="81.625783" + inkscape:document-units="px" + inkscape:current-layer="layer1" + showgrid="true" + inkscape:snap-global="true" + inkscape:window-width="1855" + inkscape:window-height="1056" + inkscape:window-x="65" + inkscape:window-y="24" + inkscape:window-maximized="1" + inkscape:snap-bbox="true" + inkscape:snap-grids="true" + fit-margin-top="0" + fit-margin-left="0" + fit-margin-right="0" + fit-margin-bottom="0"> + <inkscape:grid + type="xygrid" + id="grid4905" + empspacing="5" + visible="true" + enabled="true" + snapvisiblegridlinesonly="true" + originx="-4.8369901px" + originy="-497.51264px" /> + </sodipodi:namedview> + <metadata + id="metadata4902"> + <rdf:RDF> + <cc:Work + rdf:about=""> + <dc:format>image/svg+xml</dc:format> + <dc:type + rdf:resource="http://purl.org/dc/dcmitype/StillImage" /> + <dc:title /> + </cc:Work> + </rdf:RDF> + </metadata> + <g + inkscape:label="Layer 1" + inkscape:groupmode="layer" + id="layer1" + style="display:inline" + transform="translate(-4.8369901,515.9942)"> + <g + id="g7115" + transform="matrix(0.5,0,0,0.5,50.24243,13.496577)"> + <g + id="use6923" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="matrix(2,0,0,2,60.807809,-1112.7059)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7021" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7023" + y="60" + x="-97.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7025" + y="60" + x="-97.362183" + sodipodi:role="line">sp4_v_t_1</tspan></text> + </g> + <g + id="use6955" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="matrix(2,0,0,2,20.807817,-1112.7059)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7029" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7031" + y="60" + x="-97.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7033" + y="60" + x="-97.362183" + sodipodi:role="line">sp4_v_t_0</tspan></text> + </g> + <g + id="use6969" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="matrix(2,0,0,2,140.80782,-1112.7059)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7037" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7039" + y="60" + x="-97.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7041" + y="60" + x="-97.362183" + sodipodi:role="line">sp4_v_t_3</tspan></text> + </g> + <g + id="use7017" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="matrix(2,0,0,2,100.80782,-1112.7059)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7045" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7047" + y="60" + x="-97.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7049" + y="60" + x="-97.362183" + sodipodi:role="line">sp4_v_t_2</tspan></text> + </g> + <g + transform="matrix(2,0,0,2,220.80782,-1112.7059)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7051"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7053" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + x="-97.362183" + y="60" + id="text7055" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-97.362183" + y="60" + id="tspan7057">sp4_v_t_5</tspan></text> + </g> + <g + transform="matrix(2,0,0,2,180.80782,-1112.7059)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7059"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7061" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + x="-97.362183" + y="60" + id="text7063" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-97.362183" + y="60" + id="tspan7065">sp4_v_t_4</tspan></text> + </g> + <g + transform="matrix(2,0,0,2,300.80782,-1112.7059)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7067"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7069" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + x="-97.362183" + y="60" + id="text7071" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-97.362183" + y="60" + id="tspan7073">sp4_v_t_7</tspan></text> + </g> + <g + transform="matrix(2,0,0,2,260.80782,-1112.7059)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7075"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7077" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + x="-97.362183" + y="60" + id="text7079" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-97.362183" + y="60" + id="tspan7081">sp4_v_t_6</tspan></text> + </g> + <g + id="g7083" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="matrix(2,0,0,2,380.80781,-1112.7059)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7085" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7087" + y="60" + x="-97.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7089" + y="60" + x="-97.362183" + sodipodi:role="line">sp4_v_t_9</tspan></text> + </g> + <g + id="g7091" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="matrix(2,0,0,2,340.80781,-1112.7059)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7093" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7095" + y="60" + x="-97.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7097" + y="60" + x="-97.362183" + sodipodi:role="line">sp4_v_t_8</tspan></text> + </g> + <g + id="g7099" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="matrix(2,0,0,2,460.80783,-1112.7059)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7101" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7103" + y="60" + x="-97.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7105" + y="60" + x="-97.362183" + sodipodi:role="line">sp4_v_t_11</tspan></text> + </g> + <g + id="g7107" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="matrix(2,0,0,2,420.80782,-1112.7059)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7109" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7111" + y="60" + x="-97.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7113" + y="60" + x="-97.362183" + sodipodi:role="line">sp4_v_t_10</tspan></text> + </g> + </g> + <g + id="g7165" + transform="translate(355.64633,-527.85638)"> + <g + transform="translate(-15.000004,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7167"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7169" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + x="-97.362183" + y="60" + id="text7171" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-97.362183" + y="60" + id="tspan7173">sp4_v_t_13</tspan></text> + </g> + <g + transform="translate(-35,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7175"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7177" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + x="-97.362183" + y="60" + id="text7179" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-97.362183" + y="60" + id="tspan7181">sp4_v_t_12</tspan></text> + </g> + <g + transform="translate(25,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7183"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7185" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + x="-97.362183" + y="60" + id="text7187" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-97.362183" + y="60" + id="tspan7189">sp4_v_t_15</tspan></text> + </g> + <g + transform="translate(5,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7191"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7193" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + x="-97.362183" + y="60" + id="text7195" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-97.362183" + y="60" + id="tspan7197">sp4_v_t_14</tspan></text> + </g> + <g + id="g7199" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(65,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7201" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7203" + y="60" + x="-97.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7205" + y="60" + x="-97.362183" + sodipodi:role="line">sp4_v_t_17</tspan></text> + </g> + <g + id="g7207" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(45,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7209" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7211" + y="60" + x="-97.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7213" + y="60" + x="-97.362183" + sodipodi:role="line">sp4_v_t_15</tspan></text> + </g> + <g + id="g7215" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(105,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7217" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7219" + y="60" + x="-97.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7221" + y="60" + x="-97.362183" + sodipodi:role="line">sp4_v_t_19</tspan></text> + </g> + <g + id="g7223" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(85,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7225" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7227" + y="60" + x="-97.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7229" + y="60" + x="-97.362183" + sodipodi:role="line">sp4_v_t_18</tspan></text> + </g> + <g + transform="translate(145,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7231"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7233" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + x="-97.362183" + y="60" + id="text7235" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-97.362183" + y="60" + id="tspan7237">sp4_v_t_21</tspan></text> + </g> + <g + transform="translate(125,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7239"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7241" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + x="-97.362183" + y="60" + id="text7243" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-97.362183" + y="60" + id="tspan7245">sp4_v_t_20</tspan></text> + </g> + <g + transform="translate(185,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7247"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7249" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + x="-97.362183" + y="60" + id="text7251" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-97.362183" + y="60" + id="tspan7253">sp4_v_t_23</tspan></text> + </g> + <g + transform="translate(165,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7255"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7257" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + x="-97.362183" + y="60" + id="text7259" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-97.362183" + y="60" + id="tspan7261">sp4_v_t_22</tspan></text> + </g> + </g> + <g + id="g7263" + transform="translate(615.64631,-527.85638)"> + <g + transform="translate(-15.000004,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7265"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7267" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + x="-97.362183" + y="60" + id="text7269" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-97.362183" + y="60" + id="tspan7271">sp4_v_t_25</tspan></text> + </g> + <g + transform="translate(-35,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7273"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7275" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + x="-97.362183" + y="60" + id="text7277" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-97.362183" + y="60" + id="tspan7279">sp4_v_t_24</tspan></text> + </g> + <g + transform="translate(25,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7281"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7283" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + x="-97.362183" + y="60" + id="text7285" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-97.362183" + y="60" + id="tspan7287">sp4_v_t_27</tspan></text> + </g> + <g + transform="translate(5,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7289"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7291" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + x="-97.362183" + y="60" + id="text7293" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-97.362183" + y="60" + id="tspan7295">sp4_v_t_26</tspan></text> + </g> + <g + id="g7297" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(65,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7299" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7301" + y="60" + x="-97.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7303" + y="60" + x="-97.362183" + sodipodi:role="line">sp4_v_t_29</tspan></text> + </g> + <g + id="g7305" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(45,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7307" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7309" + y="60" + x="-97.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7311" + y="60" + x="-97.362183" + sodipodi:role="line">sp4_v_t_28</tspan></text> + </g> + <g + id="g7313" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(105,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7315" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7317" + y="60" + x="-97.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7319" + y="60" + x="-97.362183" + sodipodi:role="line">sp4_v_t_31</tspan></text> + </g> + <g + id="g7321" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(85,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7323" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7325" + y="60" + x="-97.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7327" + y="60" + x="-97.362183" + sodipodi:role="line">sp4_v_t_30</tspan></text> + </g> + <g + transform="translate(145,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7329"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7331" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + x="-97.362183" + y="60" + id="text7333" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-97.362183" + y="60" + id="tspan7335">sp4_v_t_33</tspan></text> + </g> + <g + transform="translate(125,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7337"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7339" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + x="-97.362183" + y="60" + id="text7341" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-97.362183" + y="60" + id="tspan7343">sp4_v_t_32</tspan></text> + </g> + <g + transform="translate(185,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7345"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7347" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + x="-97.362183" + y="60" + id="text7349" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-97.362183" + y="60" + id="tspan7351">sp4_v_t_35</tspan></text> + </g> + <g + transform="translate(165,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7353"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7355" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#999999;fill-opacity:1;stroke:none;font-family:Sans" + x="-97.362183" + y="60" + id="text7357" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-97.362183" + y="60" + id="tspan7359">sp4_v_t_34</tspan></text> + </g> + </g> + <g + transform="translate(875.64632,-527.85638)" + id="g7361"> + <g + id="g7363" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(-15.000004,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7365" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7367" + y="60" + x="-97.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7369" + y="60" + x="-97.362183" + sodipodi:role="line">sp4_v_t_37</tspan></text> + </g> + <g + id="g7371" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(-35,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7373" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7375" + y="60" + x="-97.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7377" + y="60" + x="-97.362183" + sodipodi:role="line">sp4_v_t_36</tspan></text> + </g> + <g + id="g7379" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(25,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7381" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7383" + y="60" + x="-97.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7385" + y="60" + x="-97.362183" + sodipodi:role="line">sp4_v_t_39</tspan></text> + </g> + <g + id="g7387" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(5,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7389" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7391" + y="60" + x="-97.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7393" + y="60" + x="-97.362183" + sodipodi:role="line">sp4_v_t_38</tspan></text> + </g> + <g + transform="translate(65,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7395"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7397" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-97.362183" + y="60" + id="text7399" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-97.362183" + y="60" + id="tspan7401">sp4_v_t_41</tspan></text> + </g> + <g + transform="translate(45,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7403"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7405" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-97.362183" + y="60" + id="text7407" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-97.362183" + y="60" + id="tspan7409">sp4_v_t_40</tspan></text> + </g> + <g + transform="translate(105,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7411"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7413" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-97.362183" + y="60" + id="text7415" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-97.362183" + y="60" + id="tspan7417">sp4_v_t_43</tspan></text> + </g> + <g + transform="translate(85,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7419"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7421" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-97.362183" + y="60" + id="text7423" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-97.362183" + y="60" + id="tspan7425">sp4_v_t_42</tspan></text> + </g> + <g + id="g7427" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(145,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7429" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7431" + y="60" + x="-97.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7433" + y="60" + x="-97.362183" + sodipodi:role="line">sp4_v_t_45</tspan></text> + </g> + <g + id="g7435" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(125,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7437" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7439" + y="60" + x="-97.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7441" + y="60" + x="-97.362183" + sodipodi:role="line">sp4_v_t_44</tspan></text> + </g> + <g + id="g7443" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(185,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7445" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7447" + y="60" + x="-97.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7449" + y="60" + x="-97.362183" + sodipodi:role="line">sp4_v_t_47</tspan></text> + </g> + <g + id="g7451" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(165,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7453" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7455" + y="60" + x="-97.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7457" + y="60" + x="-97.362183" + sodipodi:role="line">sp4_v_t_46</tspan></text> + </g> + </g> + <g + transform="translate(95.657386,466.98736)" + id="g7459"> + <g + transform="translate(-15.000004,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7461"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7463" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-65.362183" + y="60" + id="text7465" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-65.362183" + y="60" + id="tspan7467">sp4_v_b_1</tspan></text> + </g> + <g + transform="translate(-35,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7469"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7471" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-65.362183" + y="60" + id="text7473" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-65.362183" + y="60" + id="tspan7475">sp4_v_b_0</tspan></text> + </g> + <g + transform="translate(25,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7477"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7479" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-65.362183" + y="60" + id="text7481" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-65.362183" + y="60" + id="tspan7483">sp4_v_b_3</tspan></text> + </g> + <g + transform="translate(5,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7485"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7487" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-65.362183" + y="60" + id="text7489" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-65.362183" + y="60" + id="tspan7491">sp4_v_b_2</tspan></text> + </g> + <g + id="g7493" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(65,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7495" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7497" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7499" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_5</tspan></text> + </g> + <g + id="g7501" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(45,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7503" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7505" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7507" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_4</tspan></text> + </g> + <g + id="g7509" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(105,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7511" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7513" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7515" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_7</tspan></text> + </g> + <g + id="g7517" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(85,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7519" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7521" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7523" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_6</tspan></text> + </g> + <g + transform="translate(145,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7525"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7527" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-65.362183" + y="60" + id="text7529" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-65.362183" + y="60" + id="tspan7531">sp4_v_b_9</tspan></text> + </g> + <g + transform="translate(125,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7533"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7535" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-65.362183" + y="60" + id="text7537" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-65.362183" + y="60" + id="tspan7539">sp4_v_b_8</tspan></text> + </g> + <g + transform="translate(185,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7541"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7543" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-65.362183" + y="60" + id="text7545" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-65.362183" + y="60" + id="tspan7547">sp4_v_b_11</tspan></text> + </g> + <g + transform="translate(165,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7549"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7551" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-65.362183" + y="60" + id="text7553" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-65.362183" + y="60" + id="tspan7555">sp4_v_b_10</tspan></text> + </g> + </g> + <g + transform="translate(355.65369,466.98736)" + id="g7557"> + <g + id="g7559" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(-15.000004,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7561" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7563" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7565" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_13</tspan></text> + </g> + <g + id="g7567" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(-35,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7569" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7571" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7573" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_12</tspan></text> + </g> + <g + id="g7575" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(25,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7577" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7579" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7581" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_15</tspan></text> + </g> + <g + id="g7583" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(5,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7585" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7587" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7589" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_14</tspan></text> + </g> + <g + transform="translate(65,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7591"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7593" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-65.362183" + y="60" + id="text7595" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-65.362183" + y="60" + id="tspan7597">sp4_v_b_17</tspan></text> + </g> + <g + transform="translate(45,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7599"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7601" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-65.362183" + y="60" + id="text7603" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-65.362183" + y="60" + id="tspan7605">sp4_v_b_15</tspan></text> + </g> + <g + transform="translate(105,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7607"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7609" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-65.362183" + y="60" + id="text7611" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-65.362183" + y="60" + id="tspan7613">sp4_v_b_19</tspan></text> + </g> + <g + transform="translate(85,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7615"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7617" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-65.362183" + y="60" + id="text7619" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-65.362183" + y="60" + id="tspan7621">sp4_v_b_18</tspan></text> + </g> + <g + id="g7623" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(145,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7625" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7627" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7629" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_21</tspan></text> + </g> + <g + id="g7631" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(125,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7633" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7635" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7637" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_20</tspan></text> + </g> + <g + id="g7639" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(185,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7641" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7643" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7645" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_23</tspan></text> + </g> + <g + id="g7647" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(165,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7649" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7651" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7653" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_22</tspan></text> + </g> + </g> + <g + transform="translate(615.64998,466.98736)" + id="g7655"> + <g + id="g7657" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(-15.000004,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7659" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7661" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7663" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_25</tspan></text> + </g> + <g + id="g7665" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(-35,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7667" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7669" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7671" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_24</tspan></text> + </g> + <g + id="g7673" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(25,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7675" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7677" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7679" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_27</tspan></text> + </g> + <g + id="g7681" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(5,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7683" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7685" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7687" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_26</tspan></text> + </g> + <g + transform="translate(65,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7689"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7691" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-65.362183" + y="60" + id="text7693" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-65.362183" + y="60" + id="tspan7695">sp4_v_b_29</tspan></text> + </g> + <g + transform="translate(45,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7697"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7699" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-65.362183" + y="60" + id="text7701" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-65.362183" + y="60" + id="tspan7703">sp4_v_b_28</tspan></text> + </g> + <g + transform="translate(105,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7705"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7707" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-65.362183" + y="60" + id="text7709" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-65.362183" + y="60" + id="tspan7711">sp4_v_b_31</tspan></text> + </g> + <g + transform="translate(85,-15)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7713"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7715" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-65.362183" + y="60" + id="text7717" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-65.362183" + y="60" + id="tspan7719">sp4_v_b_30</tspan></text> + </g> + <g + id="g7721" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(145,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7723" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7725" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7727" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_33</tspan></text> + </g> + <g + id="g7729" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(125,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7731" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7733" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7735" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_32</tspan></text> + </g> + <g + id="g7737" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(185,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7739" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7741" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7743" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_35</tspan></text> + </g> + <g + id="g7745" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(165,-15)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7747" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7749" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7751" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_34</tspan></text> + </g> + </g> + <g + id="g7755" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(860.64628,451.98736)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7757" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7759" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7761" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_37</tspan></text> + </g> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 905.64627,479.34954 0,75" + id="path7765" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-517.34955" + y="900.64624" + id="text7767" + sodipodi:linespacing="125%" + transform="matrix(0,-0.99999999,1,0,0,0)"><tspan + sodipodi:role="line" + x="-517.34955" + y="900.64624" + id="tspan7769">sp4_v_b_36</tspan></text> + <g + transform="translate(840.64628,451.98736)" + id="g8354"> + <text + sodipodi:linespacing="125%" + id="text7767-0" + y="-45.550045" + x="348.87012" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7769-8" + y="-45.550045" + x="348.87012" + sodipodi:role="line">sp4_r_v_b_0 ... sp4_r_v_b_11</tspan></text> + <g + transform="translate(-10,-50)" + id="g8340"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 355.0152,68.387465 150,0" + id="path8316" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8318" + d="m 355.0152,57.478407 150,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 355.0152,46.569228 150,0" + id="path8320" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8322" + d="m 355.0152,35.66017 150,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 355.0152,24.751112 150,0" + id="path8324" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8326" + d="m 355.0152,13.842055 150,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8328" + d="m 355.0152,62.932875 150,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 355.0152,52.023818 150,0" + id="path8330" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8332" + d="m 355.0152,41.11476 150,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 355.0152,30.205702 150,0" + id="path8334" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8336" + d="m 355.0152,19.296523 150,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 355.0152,8.3874652 150,0" + id="path8338" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + </g> + </g> + <g + id="g8371" + transform="translate(840.64628,370.98736)"> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;font-family:Sans" + x="348.87012" + y="-45.550045" + id="text8373" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + x="348.87012" + y="-45.550045" + id="tspan8375">sp4_r_v_b_12 ... sp4_r_v_b_23</tspan></text> + <g + id="g8377" + transform="translate(-10,-50)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8379" + d="m 355.0152,68.387465 150,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 355.0152,57.478407 150,0" + id="path8381" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8383" + d="m 355.0152,46.569228 150,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 355.0152,35.66017 150,0" + id="path8385" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8387" + d="m 355.0152,24.751112 150,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 355.0152,13.842055 150,0" + id="path8389" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 355.0152,62.932875 150,0" + id="path8391" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8393" + d="m 355.0152,52.023818 150,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 355.0152,41.11476 150,0" + id="path8395" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8397" + d="m 355.0152,30.205702 150,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 355.0152,19.296523 150,0" + id="path8399" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8401" + d="m 355.0152,8.3874652 150,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + </g> + </g> + <g + transform="translate(840.64628,290.98736)" + id="g8403"> + <text + sodipodi:linespacing="125%" + id="text8405" + y="-45.550045" + x="348.87012" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;font-family:Sans" + xml:space="preserve"><tspan + id="tspan8407" + y="-45.550045" + x="348.87012" + sodipodi:role="line">sp4_r_v_b_24 ... sp4_r_v_b_35</tspan></text> + <g + transform="translate(-10,-50)" + id="g8409"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 355.0152,68.387465 150,0" + id="path8411" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8413" + d="m 355.0152,57.478407 150,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 355.0152,46.569228 150,0" + id="path8415" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8417" + d="m 355.0152,35.66017 150,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 355.0152,24.751112 150,0" + id="path8419" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8421" + d="m 355.0152,13.842055 150,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8423" + d="m 355.0152,62.932875 150,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 355.0152,52.023818 150,0" + id="path8425" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8427" + d="m 355.0152,41.11476 150,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 355.0152,30.205702 150,0" + id="path8429" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8431" + d="m 355.0152,19.296523 150,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 355.0152,8.3874652 150,0" + id="path8433" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + </g> + </g> + <g + id="g8435" + transform="translate(840.64628,210.98736)"> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;font-family:Sans" + x="348.87012" + y="-45.550045" + id="text8437" + sodipodi:linespacing="125%"><tspan + sodipodi:role="line" + x="348.87012" + y="-45.550045" + id="tspan8439">sp4_r_v_b_36 ... sp4_r_v_b_47</tspan></text> + <g + id="g8441" + transform="translate(-10,-50)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8443" + d="m 355.0152,68.387465 150,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 355.0152,57.478407 150,0" + id="path8445" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8447" + d="m 355.0152,46.569228 150,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 355.0152,35.66017 150,0" + id="path8449" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8451" + d="m 355.0152,24.751112 150,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 355.0152,13.842055 150,0" + id="path8453" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 355.0152,62.932875 150,0" + id="path8455" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8457" + d="m 355.0152,52.023818 150,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 355.0152,41.11476 150,0" + id="path8459" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8461" + d="m 355.0152,30.205702 150,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 355.0152,19.296523 150,0" + id="path8463" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8465" + d="m 355.0152,8.3874652 150,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + </g> + </g> + <g + id="g7771" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(900.64628,451.98736)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7773" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7775" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7777" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_39</tspan></text> + </g> + <g + id="g7779" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(880.64627,451.98736)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7781" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7783" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7785" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_38</tspan></text> + </g> + <g + transform="translate(940.64627,451.98736)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7787"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7789" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-65.362183" + y="60" + id="text7791" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-65.362183" + y="60" + id="tspan7793">sp4_v_b_41</tspan></text> + </g> + <g + transform="translate(920.64628,451.98736)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7795"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7797" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-65.362183" + y="60" + id="text7799" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-65.362183" + y="60" + id="tspan7801">sp4_v_b_40</tspan></text> + </g> + <g + transform="translate(980.64627,451.98736)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7803"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7805" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-65.362183" + y="60" + id="text7807" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-65.362183" + y="60" + id="tspan7809">sp4_v_b_43</tspan></text> + </g> + <g + transform="translate(960.64628,451.98736)" + inkscape:tile-y0="27.362183" + inkscape:tile-x0="54.74532" + id="g7811"> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 65,27.362183 0,74.999997" + id="path7813" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <text + xml:space="preserve" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + x="-65.362183" + y="60" + id="text7815" + sodipodi:linespacing="125%" + transform="matrix(0,-1,1,0,0,0)"><tspan + sodipodi:role="line" + x="-65.362183" + y="60" + id="tspan7817">sp4_v_b_42</tspan></text> + </g> + <g + id="g7819" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(1020.6463,451.98736)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7821" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7823" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7825" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_45</tspan></text> + </g> + <g + id="g7827" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(1000.6463,451.98736)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7829" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7831" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7833" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_44</tspan></text> + </g> + <g + id="g7835" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(1060.6463,451.98736)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7837" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7839" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7841" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_47</tspan></text> + </g> + <g + id="g7843" + inkscape:tile-x0="54.74532" + inkscape:tile-y0="27.362183" + transform="translate(1040.6463,451.98736)"> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path7845" + d="m 65,27.362183 0,74.999997" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <text + transform="matrix(0,-1,1,0,0,0)" + sodipodi:linespacing="125%" + id="text7847" + y="60" + x="-65.362183" + style="font-size:7.07998991px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" + xml:space="preserve"><tspan + id="tspan7849" + y="60" + x="-65.362183" + sodipodi:role="line">sp4_v_b_46</tspan></text> + </g> + <g + id="g7954" + transform="matrix(2,0,0,2,66.146338,-550.71857)"> + <path + sodipodi:nodetypes="cccc" + inkscape:connector-curvature="0" + id="path7851" + d="m 29.754464,55.152362 0,10 129.999996,259.999998 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 49.750779,55.152362 0,10 130.000001,259.999998 0,10" + id="path7853" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cccc" /> + <path + sodipodi:nodetypes="cccc" + inkscape:connector-curvature="0" + id="path7855" + d="m 39.752625,55.152362 0,10 129.999995,259.999998 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + sodipodi:nodetypes="cccc" + inkscape:connector-curvature="0" + id="path7857" + d="m 59.74894,55.152362 0,10 130,259.999998 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 69.747109,55.152362 0,10 130.000001,259.999998 0,10" + id="path7859" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cccc" /> + <path + sodipodi:nodetypes="cccc" + inkscape:connector-curvature="0" + id="path7861" + d="m 89.743417,55.152362 0,10 129.999993,259.999998 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 79.745263,55.152362 0,10 129.999997,259.999998 0,10" + id="path7863" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cccc" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 99.741581,55.152362 0,10 129.999999,259.999998 0,10" + id="path7865" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cccc" /> + <path + sodipodi:nodetypes="cccc" + inkscape:connector-curvature="0" + id="path7867" + d="m 109.73974,55.152362 0,10 130,259.999998 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 129.73606,55.152362 0,10 130,259.999998 0,10" + id="path7869" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cccc" /> + <path + sodipodi:nodetypes="cccc" + inkscape:connector-curvature="0" + id="path7871" + d="m 119.73789,55.152362 0,10 130,259.999998 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + sodipodi:nodetypes="cccc" + inkscape:connector-curvature="0" + id="path7873" + d="m 139.73421,55.152362 0,10 130,259.999998 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + </g> + <g + id="g7968" + transform="matrix(2,0,0,2,326.15814,-550.71857)"> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 29.754464,55.152362 0,10 129.999996,259.999998 0,10" + id="path7970" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cccc" /> + <path + sodipodi:nodetypes="cccc" + inkscape:connector-curvature="0" + id="path7972" + d="m 49.750779,55.152362 0,10 130.000001,259.999998 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 39.752625,55.152362 0,10 129.999995,259.999998 0,10" + id="path7974" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cccc" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 59.74894,55.152362 0,10 130,259.999998 0,10" + id="path7976" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cccc" /> + <path + sodipodi:nodetypes="cccc" + inkscape:connector-curvature="0" + id="path7978" + d="m 69.747109,55.152362 0,10 130.000001,259.999998 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 89.743417,55.152362 0,10 129.999993,259.999998 0,10" + id="path7980" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cccc" /> + <path + sodipodi:nodetypes="cccc" + inkscape:connector-curvature="0" + id="path7982" + d="m 79.745263,55.152362 0,10 129.999997,259.999998 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + sodipodi:nodetypes="cccc" + inkscape:connector-curvature="0" + id="path7984" + d="m 99.741581,55.152362 0,10 129.999999,259.999998 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 109.73974,55.152362 0,10 130,259.999998 0,10" + id="path7986" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cccc" /> + <path + sodipodi:nodetypes="cccc" + inkscape:connector-curvature="0" + id="path7988" + d="m 129.73606,55.152362 0,10 130,259.999998 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 119.73789,55.152362 0,10 130,259.999998 0,10" + id="path7990" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cccc" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 139.73421,55.152362 0,10 130,259.999998 0,10" + id="path7992" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cccc" /> + </g> + <g + transform="matrix(2,0,0,2,586.16987,-550.71857)" + id="g7994"> + <path + sodipodi:nodetypes="cccc" + inkscape:connector-curvature="0" + id="path7996" + d="m 29.754464,55.152362 0,10 129.999996,259.999998 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 49.750779,55.152362 0,10 130.000001,259.999998 0,10" + id="path7998" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cccc" /> + <path + sodipodi:nodetypes="cccc" + inkscape:connector-curvature="0" + id="path8000" + d="m 39.752625,55.152362 0,10 129.999995,259.999998 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + sodipodi:nodetypes="cccc" + inkscape:connector-curvature="0" + id="path8002" + d="m 59.74894,55.152362 0,10 130,259.999998 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 69.747109,55.152362 0,10 130.000001,259.999998 0,10" + id="path8004" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cccc" /> + <path + sodipodi:nodetypes="cccc" + inkscape:connector-curvature="0" + id="path8006" + d="m 89.743417,55.152362 0,10 129.999993,259.999998 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 79.745263,55.152362 0,10 129.999997,259.999998 0,10" + id="path8008" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cccc" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 99.741581,55.152362 0,10 129.999999,259.999998 0,10" + id="path8010" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cccc" /> + <path + sodipodi:nodetypes="cccc" + inkscape:connector-curvature="0" + id="path8012" + d="m 109.73974,55.152362 0,10 130,259.999998 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 129.73606,55.152362 0,10 130,259.999998 0,10" + id="path8014" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cccc" /> + <path + sodipodi:nodetypes="cccc" + inkscape:connector-curvature="0" + id="path8016" + d="m 119.73789,55.152362 0,10 130,259.999998 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + sodipodi:nodetypes="cccc" + inkscape:connector-curvature="0" + id="path8018" + d="m 139.73421,55.152362 0,10 130,259.999998 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + </g> + <g + id="g8058" + transform="matrix(2,0,0,2,66.146338,-550.30354)"> + <g + transform="translate(0,-0.16379524)" + id="g8024"> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 159.75504,335.12079 0,10 10,10 0,10" + id="path8020" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8022" + d="m 169.75431,335.12079 0,10 -10,10 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + </g> + <g + transform="translate(39.995023,-0.16379524)" + id="g8028"> + <path + inkscape:connector-curvature="0" + id="path8030" + d="m 159.75504,335.12079 0,10 10,10 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 169.75431,335.12079 0,10 -10,10 0,10" + id="path8032" + inkscape:connector-curvature="0" /> + </g> + <g + id="g8034" + transform="translate(59.992518,-0.16379524)"> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 159.75504,335.12079 0,10 10,10 0,10" + id="path8036" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8038" + d="m 169.75431,335.12079 0,10 -10,10 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + </g> + <g + transform="translate(19.997514,-0.16379524)" + id="g8040"> + <path + inkscape:connector-curvature="0" + id="path8042" + d="m 159.75504,335.12079 0,10 10,10 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 169.75431,335.12079 0,10 -10,10 0,10" + id="path8044" + inkscape:connector-curvature="0" /> + </g> + <g + transform="translate(79.990032,-0.16379524)" + id="g8046"> + <path + inkscape:connector-curvature="0" + id="path8048" + d="m 159.75504,335.12079 0,10 10,10 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 169.75431,335.12079 0,10 -10,10 0,10" + id="path8050" + inkscape:connector-curvature="0" /> + </g> + <g + id="g8052" + transform="translate(99.987539,-0.16379524)"> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 159.75504,335.12079 0,10 10,10 0,10" + id="path8054" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8056" + d="m 169.75431,335.12079 0,10 -10,10 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + </g> + </g> + <g + id="g8078" + transform="matrix(2,0,0,2,326.15336,-550.30354)"> + <g + id="g8080" + transform="translate(0,-0.16379524)"> + <path + inkscape:connector-curvature="0" + id="path8082" + d="m 159.75504,335.12079 0,10 10,10 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 169.75431,335.12079 0,10 -10,10 0,10" + id="path8084" + inkscape:connector-curvature="0" /> + </g> + <g + id="g8086" + transform="translate(39.995023,-0.16379524)"> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 159.75504,335.12079 0,10 10,10 0,10" + id="path8088" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8090" + d="m 169.75431,335.12079 0,10 -10,10 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + </g> + <g + transform="translate(59.992518,-0.16379524)" + id="g8092"> + <path + inkscape:connector-curvature="0" + id="path8094" + d="m 159.75504,335.12079 0,10 10,10 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 169.75431,335.12079 0,10 -10,10 0,10" + id="path8096" + inkscape:connector-curvature="0" /> + </g> + <g + id="g8098" + transform="translate(19.997514,-0.16379524)"> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 159.75504,335.12079 0,10 10,10 0,10" + id="path8100" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8102" + d="m 169.75431,335.12079 0,10 -10,10 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + </g> + <g + id="g8104" + transform="translate(79.990032,-0.16379524)"> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 159.75504,335.12079 0,10 10,10 0,10" + id="path8106" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8108" + d="m 169.75431,335.12079 0,10 -10,10 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + </g> + <g + transform="translate(99.987539,-0.16379524)" + id="g8110"> + <path + inkscape:connector-curvature="0" + id="path8112" + d="m 159.75504,335.12079 0,10 10,10 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 169.75431,335.12079 0,10 -10,10 0,10" + id="path8114" + inkscape:connector-curvature="0" /> + </g> + </g> + <g + transform="matrix(2,0,0,2,586.16045,-550.30354)" + id="g8116"> + <g + transform="translate(0,-0.16379524)" + id="g8118"> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 159.75504,335.12079 0,10 10,10 0,10" + id="path8120" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8122" + d="m 169.75431,335.12079 0,10 -10,10 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + </g> + <g + transform="translate(39.995023,-0.16379524)" + id="g8124"> + <path + inkscape:connector-curvature="0" + id="path8126" + d="m 159.75504,335.12079 0,10 10,10 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 169.75431,335.12079 0,10 -10,10 0,10" + id="path8128" + inkscape:connector-curvature="0" /> + </g> + <g + id="g8130" + transform="translate(59.992518,-0.16379524)"> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 159.75504,335.12079 0,10 10,10 0,10" + id="path8132" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8134" + d="m 169.75431,335.12079 0,10 -10,10 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + </g> + <g + transform="translate(19.997514,-0.16379524)" + id="g8136"> + <path + inkscape:connector-curvature="0" + id="path8138" + d="m 159.75504,335.12079 0,10 10,10 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 169.75431,335.12079 0,10 -10,10 0,10" + id="path8140" + inkscape:connector-curvature="0" /> + </g> + <g + transform="translate(79.990032,-0.16379524)" + id="g8142"> + <path + inkscape:connector-curvature="0" + id="path8144" + d="m 159.75504,335.12079 0,10 10,10 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 169.75431,335.12079 0,10 -10,10 0,10" + id="path8146" + inkscape:connector-curvature="0" /> + </g> + <g + id="g8148" + transform="translate(99.987539,-0.16379524)"> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 159.75504,335.12079 0,10 10,10 0,10" + id="path8150" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8152" + d="m 169.75431,335.12079 0,10 -10,10 0,10" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + </g> + </g> + <g + id="g8178" + transform="matrix(2,0,0,2.2591362,-193.83852,-684.49003)"> + <path + inkscape:connector-curvature="0" + id="path8154" + d="m 159.75,365.15268 0,150" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 169.75009,365.15268 0,150" + id="path8156" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8158" + d="m 179.75016,365.15268 0,150" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 189.75026,365.15268 0,150" + id="path8160" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8162" + d="m 199.75034,365.15268 0,150" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 209.75043,365.15268 0,150" + id="path8164" + inkscape:connector-curvature="0" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 219.75052,365.15268 0,150" + id="path8166" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8168" + d="m 229.75061,365.15268 0,150" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 239.75069,365.15268 0,150" + id="path8170" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8172" + d="m 249.75077,365.15268 0,150" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 259.75086,365.15268 0,150" + id="path8174" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8176" + d="m 269.75095,365.15268 0,150" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + </g> + <g + id="g8215" + transform="matrix(2,0,0,2,66.15782,-550.93053)"> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 159.75,365.15268 0,150" + id="path8217" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8219" + d="m 169.75009,365.15268 0,150" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 179.75016,365.15268 0,150" + id="path8221" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8223" + d="m 189.75026,365.15268 0,150" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 199.75034,365.15268 0,150" + id="path8225" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8227" + d="m 209.75043,365.15268 0,150" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + inkscape:connector-curvature="0" + id="path8229" + d="m 219.75052,365.15268 0,150" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 229.75061,365.15268 0,150" + id="path8231" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8233" + d="m 239.75069,365.15268 0,150" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 249.75077,365.15268 0,150" + id="path8235" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8237" + d="m 259.75086,365.15268 0,150" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 269.75095,365.15268 0,150" + id="path8239" + inkscape:connector-curvature="0" /> + </g> + <g + transform="matrix(2,0,0,2,586.15052,-550.93053)" + id="g8241"> + <path + inkscape:connector-curvature="0" + id="path8243" + d="m 159.75,365.15268 0,150" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 169.75009,365.15268 0,150" + id="path8245" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8247" + d="m 179.75016,365.15268 0,150" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 189.75026,365.15268 0,150" + id="path8249" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8251" + d="m 199.75034,365.15268 0,150" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 209.75043,365.15268 0,150" + id="path8253" + inkscape:connector-curvature="0" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 219.75052,365.15268 0,150" + id="path8255" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8257" + d="m 229.75061,365.15268 0,150" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 239.75069,365.15268 0,150" + id="path8259" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8261" + d="m 249.75077,365.15268 0,150" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 259.75086,365.15268 0,150" + id="path8263" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8265" + d="m 269.75095,365.15268 0,150" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + </g> + <g + transform="matrix(2,0,0,2,326.15414,-550.93053)" + id="g8267"> + <path + inkscape:connector-curvature="0" + id="path8269" + d="m 159.75,365.15268 0,150" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 169.75009,365.15268 0,150" + id="path8271" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8273" + d="m 179.75016,365.15268 0,150" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 189.75026,365.15268 0,150" + id="path8275" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8277" + d="m 199.75034,365.15268 0,150" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 209.75043,365.15268 0,150" + id="path8279" + inkscape:connector-curvature="0" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 219.75052,365.15268 0,150" + id="path8281" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8283" + d="m 229.75061,365.15268 0,150" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 239.75069,365.15268 0,150" + id="path8285" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8287" + d="m 249.75077,365.15268 0,150" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 259.75086,365.15268 0,150" + id="path8289" + inkscape:connector-curvature="0" /> + <path + inkscape:connector-curvature="0" + id="path8291" + d="m 269.75095,365.15268 0,150" + style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + </g> + <rect + style="fill:#808080;fill-opacity:0;fill-rule:evenodd;stroke:#000000;stroke-width:1.1034106;stroke-linecap:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + id="rect8293" + width="1278.8966" + height="1029.307" + x="35.713181" + y="-499.82651" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8653" + d="m 5.3369901,469.37482 119.8244899,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 5.3369901,458.46576 159.8244899,0" + id="path8655" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8657" + d="m 5.3369901,447.55658 199.8244999,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 5.3369901,436.64752 239.8244799,0" + id="path8659" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8661" + d="m 5.3369901,425.73848 279.8244899,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 5.3369901,414.82942 319.8244999,0" + id="path8663" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 5.3369901,463.92024 139.8244899,0" + id="path8665" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8667" + d="m 5.3369901,453.01118 179.8244899,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 5.3369901,442.10212 219.8244899,0" + id="path8669" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8671" + d="m 5.3369901,431.19306 259.8244999,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 5.3369901,420.28388 299.8244799,0" + id="path8673" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8675" + d="m 5.3369901,409.37482 339.8244899,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.99999994;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 6.8968914,388.37482 378.2645686,0" + id="path8685" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8687" + d="m 6.8968914,377.46577 418.2645686,0" + style="fill:none;stroke:#000000;stroke-width:0.99999994;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.99999994;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 6.8968914,366.55659 458.2645686,0" + id="path8689" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8691" + d="m 6.8968914,355.64753 498.2645686,0" + style="fill:none;stroke:#000000;stroke-width:0.99999994;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.99999994;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 6.8968914,344.73847 538.2645786,0" + id="path8693" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8695" + d="m 6.8968914,333.82941 578.2645786,0" + style="fill:none;stroke:#000000;stroke-width:0.99999994;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8697" + d="m 6.8968914,382.92023 398.2645886,0" + style="fill:none;stroke:#000000;stroke-width:0.99999994;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.99999994;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 6.8968914,372.01118 438.2645886,0" + id="path8699" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8701" + d="m 6.8968914,361.10212 478.2645886,0" + style="fill:none;stroke:#000000;stroke-width:0.99999994;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.99999994;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 6.8968914,350.19306 518.2645986,0" + id="path8703" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8705" + d="m 6.8968914,339.28388 558.2645986,0" + style="fill:none;stroke:#000000;stroke-width:0.99999994;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.99999994;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 6.8968914,328.37483 598.2645986,0" + id="path8707" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8717" + d="m 7.0456368,308.37482 638.1158332,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 7.0456368,297.46577 678.1158432,0" + id="path8719" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8721" + d="m 7.0456368,286.55659 718.1158632,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 7.0456368,275.64753 758.1158732,0" + id="path8723" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8725" + d="m 7.0456368,264.73847 798.1158832,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 7.0456368,253.82941 838.1158432,0" + id="path8727" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 7.0456368,302.92023 658.1158432,0" + id="path8729" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8731" + d="m 7.0456368,292.01118 698.1158532,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 7.0456368,281.10212 738.1158632,0" + id="path8733" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8735" + d="m 7.0456368,270.19306 778.1158832,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 7.0456368,259.28388 818.1158932,0" + id="path8737" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8739" + d="m 7.0456368,248.37483 858.1158432,0" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.99999994;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 7.90645,228.37482 897.25503,0" + id="path8749" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8751" + d="m 7.90645,217.46577 937.25505,0" + style="fill:none;stroke:#000000;stroke-width:0.99999994;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.99999994;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 7.90645,206.55659 977.25508,0" + id="path8753" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8755" + d="m 7.90645,195.64753 1017.25505,0" + style="fill:none;stroke:#000000;stroke-width:0.99999994;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.99999994;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 7.90645,184.73847 1057.25505,0" + id="path8757" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8759" + d="m 7.90645,173.82941 1097.25505,0" + style="fill:none;stroke:#000000;stroke-width:0.99999994;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8761" + d="m 7.90645,222.92023 917.25508,0" + style="fill:none;stroke:#000000;stroke-width:0.99999994;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.99999994;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 7.90645,212.01118 957.25503,0" + id="path8763" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8765" + d="m 7.90645,201.10212 997.25505,0" + style="fill:none;stroke:#000000;stroke-width:0.99999994;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.99999994;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 7.90645,190.19306 1037.25505,0" + id="path8767" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + <path + sodipodi:nodetypes="cc" + inkscape:connector-curvature="0" + id="path8769" + d="m 7.90645,179.28388 1077.25505,0" + style="fill:none;stroke:#000000;stroke-width:0.99999994;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + <path + style="fill:none;stroke:#000000;stroke-width:0.99999994;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 7.90645,168.37483 1117.25505,0" + id="path8771" + inkscape:connector-curvature="0" + sodipodi:nodetypes="cc" /> + </g> + <g + inkscape:groupmode="layer" + id="layer2" + inkscape:label="Layer" + style="display:inline" + transform="translate(-4.8369901,515.9942)" /> +</svg> |