aboutsummaryrefslogtreecommitdiffstats
path: root/examples/iceblink/example.v
diff options
context:
space:
mode:
authorKalle Raiskila <kraiskil@iki.fi>2016-01-31 13:01:18 +0200
committerKalle Raiskila <kalle.raiskila@nokia.com>2016-01-31 13:03:11 +0200
commit438c0b55aea79da73f9be6ace4ddf2b77b749774 (patch)
treea052afe5cd645974a21463549677b329c5b8cfcc /examples/iceblink/example.v
parentf1592d01eeb73b23d628bc802f242ac49f30d33e (diff)
downloadicestorm-438c0b55aea79da73f9be6ace4ddf2b77b749774.tar.gz
icestorm-438c0b55aea79da73f9be6ace4ddf2b77b749774.tar.bz2
icestorm-438c0b55aea79da73f9be6ace4ddf2b77b749774.zip
Port example to iceblink40 board.
Diffstat (limited to 'examples/iceblink/example.v')
-rw-r--r--examples/iceblink/example.v24
1 files changed, 24 insertions, 0 deletions
diff --git a/examples/iceblink/example.v b/examples/iceblink/example.v
new file mode 100644
index 0000000..6bccc1e
--- /dev/null
+++ b/examples/iceblink/example.v
@@ -0,0 +1,24 @@
+/* Binary counter displayed on LEDs (the 4 green ones on the right).
+ * Changes value about once a second.
+ */
+module top (
+ input clk,
+ output LED2,
+ output LED3,
+ output LED4,
+ output LED5
+);
+
+ localparam BITS = 4;
+ localparam LOG2DELAY = 22;
+
+ reg [BITS+LOG2DELAY-1:0] counter = 0;
+ reg [BITS-1:0] outcnt;
+
+ always@(posedge clk) begin
+ counter <= counter + 1;
+ outcnt <= counter >> LOG2DELAY;
+ end
+
+ assign {LED2, LED3, LED4, LED5} = outcnt;
+endmodule