aboutsummaryrefslogtreecommitdiffstats
path: root/examples
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2017-01-01 22:12:32 +0100
committerClifford Wolf <clifford@clifford.at>2017-01-01 22:12:32 +0100
commit13e654fe2e9484aaddf69d9e2015722604418210 (patch)
tree0a80e64d2bc39db6509d58f9eee66145af4adcdc /examples
parent7d839b4225448dabac1f8fce2d7e1c7c20a4b543 (diff)
downloadicestorm-13e654fe2e9484aaddf69d9e2015722604418210.tar.gz
icestorm-13e654fe2e9484aaddf69d9e2015722604418210.tar.bz2
icestorm-13e654fe2e9484aaddf69d9e2015722604418210.zip
Fixed files with CRLF line endings
Diffstat (limited to 'examples')
-rw-r--r--examples/hx8kboard/hx8kboard.pcf18
-rw-r--r--examples/iceblink/iceblink.pcf10
-rw-r--r--examples/icestick/icestick.pcf22
-rw-r--r--examples/icezum/icezum.pcf18
4 files changed, 34 insertions, 34 deletions
diff --git a/examples/hx8kboard/hx8kboard.pcf b/examples/hx8kboard/hx8kboard.pcf
index 417ca01..503eb36 100644
--- a/examples/hx8kboard/hx8kboard.pcf
+++ b/examples/hx8kboard/hx8kboard.pcf
@@ -1,9 +1,9 @@
-set_io LED0 B5
-set_io LED1 B4
-set_io LED2 A2
-set_io LED3 A1
-set_io LED4 C5
-set_io LED5 C4
-set_io LED6 B3
-set_io LED7 C3
-set_io clk J3
+set_io LED0 B5
+set_io LED1 B4
+set_io LED2 A2
+set_io LED3 A1
+set_io LED4 C5
+set_io LED5 C4
+set_io LED6 B3
+set_io LED7 C3
+set_io clk J3
diff --git a/examples/iceblink/iceblink.pcf b/examples/iceblink/iceblink.pcf
index 0d5e6c1..e737772 100644
--- a/examples/iceblink/iceblink.pcf
+++ b/examples/iceblink/iceblink.pcf
@@ -1,5 +1,5 @@
-set_io LED2 59
-set_io LED3 56
-set_io LED4 53
-set_io LED5 51
-set_io clk 13
+set_io LED2 59
+set_io LED3 56
+set_io LED4 53
+set_io LED5 51
+set_io clk 13
diff --git a/examples/icestick/icestick.pcf b/examples/icestick/icestick.pcf
index 23067be..8bf462e 100644
--- a/examples/icestick/icestick.pcf
+++ b/examples/icestick/icestick.pcf
@@ -1,11 +1,11 @@
-# full iCEstick pinout:
-# http://www.pighixxx.com/test/portfolio-items/icestick/
-
-set_io --warn-no-port RX 9
-set_io --warn-no-port TX 8
-set_io LED1 99
-set_io LED2 98
-set_io LED3 97
-set_io LED4 96
-set_io LED5 95
-set_io clk 21
+# full iCEstick pinout:
+# http://www.pighixxx.com/test/portfolio-items/icestick/
+
+set_io --warn-no-port RX 9
+set_io --warn-no-port TX 8
+set_io LED1 99
+set_io LED2 98
+set_io LED3 97
+set_io LED4 96
+set_io LED5 95
+set_io clk 21
diff --git a/examples/icezum/icezum.pcf b/examples/icezum/icezum.pcf
index 4139e7f..bafdbd9 100644
--- a/examples/icezum/icezum.pcf
+++ b/examples/icezum/icezum.pcf
@@ -1,9 +1,9 @@
-set_io LED0 95
-set_io LED1 96
-set_io LED2 97
-set_io LED3 98
-set_io LED4 99
-set_io LED5 101
-set_io LED6 102
-set_io LED7 104
-set_io clk 21
+set_io LED0 95
+set_io LED1 96
+set_io LED2 97
+set_io LED3 98
+set_io LED4 99
+set_io LED5 101
+set_io LED6 102
+set_io LED7 104
+set_io clk 21