aboutsummaryrefslogtreecommitdiffstats
path: root/icebox/iceboxdb.py
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2016-01-16 16:17:56 +0100
committerClifford Wolf <clifford@clifford.at>2016-01-16 16:17:56 +0100
commit92d3ea0e58f6f3a080d1b44e3d456ffd80590237 (patch)
tree7108197d3c77cdea9ae5ec3f2ba0b6d5b54a4551 /icebox/iceboxdb.py
parentbbfc66fcf371e4b27a179fba86f4a43e75d42121 (diff)
downloadicestorm-92d3ea0e58f6f3a080d1b44e3d456ffd80590237.tar.gz
icestorm-92d3ea0e58f6f3a080d1b44e3d456ffd80590237.tar.bz2
icestorm-92d3ea0e58f6f3a080d1b44e3d456ffd80590237.zip
icefuzz improvements, refuzz timings
Diffstat (limited to 'icebox/iceboxdb.py')
-rw-r--r--icebox/iceboxdb.py4
1 files changed, 4 insertions, 0 deletions
diff --git a/icebox/iceboxdb.py b/icebox/iceboxdb.py
index ebf07ff..e9c5524 100644
--- a/icebox/iceboxdb.py
+++ b/icebox/iceboxdb.py
@@ -229,18 +229,22 @@ B14[4],B15[4],!B15[5],!B15[6],B15[7] buffer IO_T.logic_op_bot_6 lc_trk_g1_6
!B14[5],!B14[6],B14[7],B14[8],B15[8] buffer IO_T.logic_op_bot_7 lc_trk_g1_7
!B8[12],!B8[13],!B8[14],!B9[12],B9[15] buffer glb_netwk_0 wire_io_cluster/io_1/inclk
!B14[12],!B14[13],!B14[14],!B15[12],B15[15] buffer glb_netwk_0 wire_io_cluster/io_1/outclk
+!B10[14],B10[15],!B11[14],!B11[15] buffer glb_netwk_1 wire_io_cluster/io_1/cen
!B8[12],!B8[13],!B8[14],B9[12],B9[15] buffer glb_netwk_1 wire_io_cluster/io_1/inclk
!B14[12],!B14[13],!B14[14],B15[12],B15[15] buffer glb_netwk_1 wire_io_cluster/io_1/outclk
B8[12],!B8[13],!B8[14],!B9[12],B9[15] buffer glb_netwk_2 wire_io_cluster/io_1/inclk
B14[12],!B14[13],!B14[14],!B15[12],B15[15] buffer glb_netwk_2 wire_io_cluster/io_1/outclk
+B10[14],B10[15],!B11[14],!B11[15] buffer glb_netwk_3 wire_io_cluster/io_1/cen
B8[12],!B8[13],!B8[14],B9[12],B9[15] buffer glb_netwk_3 wire_io_cluster/io_1/inclk
B14[12],!B14[13],!B14[14],B15[12],B15[15] buffer glb_netwk_3 wire_io_cluster/io_1/outclk
!B8[12],!B8[13],B8[14],!B9[12],B9[15] buffer glb_netwk_4 wire_io_cluster/io_1/inclk
!B14[12],!B14[13],B14[14],!B15[12],B15[15] buffer glb_netwk_4 wire_io_cluster/io_1/outclk
+!B10[14],B10[15],!B11[14],B11[15] buffer glb_netwk_5 wire_io_cluster/io_1/cen
!B8[12],!B8[13],B8[14],B9[12],B9[15] buffer glb_netwk_5 wire_io_cluster/io_1/inclk
!B14[12],!B14[13],B14[14],B15[12],B15[15] buffer glb_netwk_5 wire_io_cluster/io_1/outclk
B8[12],!B8[13],B8[14],!B9[12],B9[15] buffer glb_netwk_6 wire_io_cluster/io_1/inclk
B14[12],!B14[13],B14[14],!B15[12],B15[15] buffer glb_netwk_6 wire_io_cluster/io_1/outclk
+B10[14],B10[15],!B11[14],B11[15] buffer glb_netwk_7 wire_io_cluster/io_1/cen
B8[12],!B8[13],B8[14],B9[12],B9[15] buffer glb_netwk_7 wire_io_cluster/io_1/inclk
B14[12],!B14[13],B14[14],B15[12],B15[15] buffer glb_netwk_7 wire_io_cluster/io_1/outclk
!B4[12],!B4[13],!B5[12],B5[13] buffer lc_trk_g0_0 wire_io_cluster/io_0/D_OUT_0