aboutsummaryrefslogtreecommitdiffstats
path: root/icefuzz/tests/sb_gb_io.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-07-18 13:10:40 +0200
committerClifford Wolf <clifford@clifford.at>2015-07-18 13:10:40 +0200
commit48154cb6f452d3bdb4da36cc267b4b6c45588dc9 (patch)
tree3ec3be9ef7e8db1fb7c764ed8202e0215a8eb7c7 /icefuzz/tests/sb_gb_io.v
parent13e63e6b65e044e348356731b55610d02cb308b9 (diff)
downloadicestorm-48154cb6f452d3bdb4da36cc267b4b6c45588dc9.tar.gz
icestorm-48154cb6f452d3bdb4da36cc267b4b6c45588dc9.tar.bz2
icestorm-48154cb6f452d3bdb4da36cc267b4b6c45588dc9.zip
Imported full dev sources
Diffstat (limited to 'icefuzz/tests/sb_gb_io.v')
-rw-r--r--icefuzz/tests/sb_gb_io.v32
1 files changed, 32 insertions, 0 deletions
diff --git a/icefuzz/tests/sb_gb_io.v b/icefuzz/tests/sb_gb_io.v
new file mode 100644
index 0000000..ed78e6e
--- /dev/null
+++ b/icefuzz/tests/sb_gb_io.v
@@ -0,0 +1,32 @@
+module top (
+ inout [7:0] pin,
+ input latch_in,
+ input clk_en,
+ input clk_in,
+ input clk_out,
+ input oen,
+ input dout_0,
+ input dout_1,
+ output [7:0] din_0,
+ output [7:0] din_1,
+ output [7:0] globals
+);
+ SB_GB_IO #(
+ .PIN_TYPE(6'b 1100_00),
+ .PULLUP(1'b0),
+ .NEG_TRIGGER(1'b0),
+ .IO_STANDARD("SB_LVCMOS")
+ ) PINS [7:0] (
+ .PACKAGE_PIN(pin),
+ .LATCH_INPUT_VALUE(latch_in),
+ .CLOCK_ENABLE(clk_en),
+ .INPUT_CLK(clk_in),
+ .OUTPUT_CLK(clk_out),
+ .OUTPUT_ENABLE(oen),
+ .D_OUT_0(dout_0),
+ .D_OUT_1(dout_1),
+ .D_IN_0(din_0),
+ .D_IN_1(din_1),
+ .GLOBAL_BUFFER_OUTPUT(globals)
+ );
+endmodule