aboutsummaryrefslogtreecommitdiffstats
path: root/examples/icestick/rs232demo_tb.v
diff options
context:
space:
mode:
Diffstat (limited to 'examples/icestick/rs232demo_tb.v')
-rw-r--r--examples/icestick/rs232demo_tb.v5
1 files changed, 5 insertions, 0 deletions
diff --git a/examples/icestick/rs232demo_tb.v b/examples/icestick/rs232demo_tb.v
index 5b9aee1..fd3a0cf 100644
--- a/examples/icestick/rs232demo_tb.v
+++ b/examples/icestick/rs232demo_tb.v
@@ -53,6 +53,11 @@ module testbench;
repeat (10 * PERIOD) @(posedge clk);
+ // turn all LEDs off
+ send_byte("1");
+ send_byte("3");
+ send_byte("5");
+
// turn all LEDs on
send_byte("1");
send_byte("2");