aboutsummaryrefslogtreecommitdiffstats
path: root/icefuzz/tests
diff options
context:
space:
mode:
Diffstat (limited to 'icefuzz/tests')
-rw-r--r--icefuzz/tests/colbuf_io.sh2
-rw-r--r--icefuzz/tests/colbuf_io_8k.sh2
-rw-r--r--icefuzz/tests/colbuf_logic.sh2
-rw-r--r--icefuzz/tests/colbuf_logic_8k.sh2
-rw-r--r--icefuzz/tests/colbuf_ram.sh2
-rw-r--r--icefuzz/tests/colbuf_ram_8k.sh2
-rw-r--r--icefuzz/tests/io_latched.sh2
-rw-r--r--icefuzz/tests/ioctrl.sh2
-rw-r--r--icefuzz/tests/test_pio.sh2
9 files changed, 9 insertions, 9 deletions
diff --git a/icefuzz/tests/colbuf_io.sh b/icefuzz/tests/colbuf_io.sh
index 2cc8387..7957ed7 100644
--- a/icefuzz/tests/colbuf_io.sh
+++ b/icefuzz/tests/colbuf_io.sh
@@ -32,7 +32,7 @@ for pin in $pins; do
echo "set_io pin $pin" > ${pf}.pcf
echo "set_io clk $gpin" >> ${pf}.pcf
bash ../../icecube.sh ${pf}.v > ${pf}.log 2>&1
- ../../../icebox/icebox_explain.py ${pf}.txt > ${pf}.exp
+ ../../../icebox/icebox_explain.py ${pf}.asc > ${pf}.exp
rm -rf ${pf}.tmp
done
diff --git a/icefuzz/tests/colbuf_io_8k.sh b/icefuzz/tests/colbuf_io_8k.sh
index 06d9d1d..3eb111f 100644
--- a/icefuzz/tests/colbuf_io_8k.sh
+++ b/icefuzz/tests/colbuf_io_8k.sh
@@ -44,7 +44,7 @@ for pin in $pins; do
echo "set_io pin $pin" > ${pf}.pcf
echo "set_io clk $gpin" >> ${pf}.pcf
ICEDEV=hx8k-ct256 bash ../../icecube.sh ${pf}.v > ${pf}.log 2>&1
- ../../../icebox/icebox_explain.py ${pf}.txt > ${pf}.exp
+ ../../../icebox/icebox_explain.py ${pf}.asc > ${pf}.exp
rm -rf ${pf}.tmp
done
diff --git a/icefuzz/tests/colbuf_logic.sh b/icefuzz/tests/colbuf_logic.sh
index 76676a4..f501afd 100644
--- a/icefuzz/tests/colbuf_logic.sh
+++ b/icefuzz/tests/colbuf_logic.sh
@@ -23,7 +23,7 @@ for y in {1..16}; do
echo "set_location dff $x $y 0" > ${pf}.pcf
echo "set_io c $gpin" >> ${pf}.pcf
bash ../../icecube.sh ${pf}.v > ${pf}.log 2>&1
- ../../../icebox/icebox_explain.py ${pf}.txt > ${pf}.exp
+ ../../../icebox/icebox_explain.py ${pf}.asc > ${pf}.exp
rm -rf ${pf}.tmp
done; done
diff --git a/icefuzz/tests/colbuf_logic_8k.sh b/icefuzz/tests/colbuf_logic_8k.sh
index 135053b..b4a0348 100644
--- a/icefuzz/tests/colbuf_logic_8k.sh
+++ b/icefuzz/tests/colbuf_logic_8k.sh
@@ -23,7 +23,7 @@ for y in {1..32}; do
echo "set_location dff $x $y 0" > ${pf}.pcf
echo "set_io c $gpin" >> ${pf}.pcf
ICEDEV=hx8k-ct256 bash ../../icecube.sh ${pf}.v > ${pf}.log 2>&1
- ../../../icebox/icebox_explain.py ${pf}.txt > ${pf}.exp
+ ../../../icebox/icebox_explain.py ${pf}.asc > ${pf}.exp
rm -rf ${pf}.tmp
done; done
diff --git a/icefuzz/tests/colbuf_ram.sh b/icefuzz/tests/colbuf_ram.sh
index 7dc2f04..c808902 100644
--- a/icefuzz/tests/colbuf_ram.sh
+++ b/icefuzz/tests/colbuf_ram.sh
@@ -51,7 +51,7 @@ for y in {1..16}; do
echo "set_io oc 1" >> ${pf}.pcf
echo "set_io c $gpin" >> ${pf}.pcf
bash ../../icecube.sh ${pf}.v > ${pf}.log 2>&1
- ../../../icebox/icebox_explain.py ${pf}.txt > ${pf}.exp
+ ../../../icebox/icebox_explain.py ${pf}.asc > ${pf}.exp
rm -rf ${pf}.tmp
done; done
diff --git a/icefuzz/tests/colbuf_ram_8k.sh b/icefuzz/tests/colbuf_ram_8k.sh
index 56c5825..9c86963 100644
--- a/icefuzz/tests/colbuf_ram_8k.sh
+++ b/icefuzz/tests/colbuf_ram_8k.sh
@@ -51,7 +51,7 @@ for y in {1..32}; do
echo "set_io oc 1" >> ${pf}.pcf
echo "set_io c $gpin" >> ${pf}.pcf
ICEDEV=hx8k-ct256 bash ../../icecube.sh ${pf}.v > ${pf}.log 2>&1
- ../../../icebox/icebox_explain.py ${pf}.txt > ${pf}.exp
+ ../../../icebox/icebox_explain.py ${pf}.asc > ${pf}.exp
rm -rf ${pf}.tmp
done; done
diff --git a/icefuzz/tests/io_latched.sh b/icefuzz/tests/io_latched.sh
index 7db5268..73da92d 100644
--- a/icefuzz/tests/io_latched.sh
+++ b/icefuzz/tests/io_latched.sh
@@ -23,6 +23,6 @@ for pin in $pins; do
echo "set_io data_out $pin_data"
} > ${pf}.pcf
bash ../../icecube.sh ${pf}.v
- ../../../icebox/icebox_vlog.py -SP ${pf}.psb ${pf}.txt > ${pf}.ve
+ ../../../icebox/icebox_vlog.py -SP ${pf}.psb ${pf}.asc > ${pf}.ve
done
diff --git a/icefuzz/tests/ioctrl.sh b/icefuzz/tests/ioctrl.sh
index d1acfe8..b25e617 100644
--- a/icefuzz/tests/ioctrl.sh
+++ b/icefuzz/tests/ioctrl.sh
@@ -18,7 +18,7 @@ for pin in $pins; do
echo "module top (output pin); assign pin = 1; endmodule" > ${pf}.v
echo "set_io pin $pin" > ${pf}.pcf
bash ../../icecube.sh ${pf}.v > ${pf}.log 2>&1
- ../../../icebox/icebox_explain.py ${pf}.txt > ${pf}.exp
+ ../../../icebox/icebox_explain.py ${pf}.asc > ${pf}.exp
done
set +x
diff --git a/icefuzz/tests/test_pio.sh b/icefuzz/tests/test_pio.sh
index b6fd2b9..4232ca3 100644
--- a/icefuzz/tests/test_pio.sh
+++ b/icefuzz/tests/test_pio.sh
@@ -49,7 +49,7 @@ for OUTTYPE in 0000 0110 1010 1110 0101 1001 1101 \
EOT
bash ../../icecube.sh ${pf}.v > ${pf}.log 2>&1
fi
- python3 ../../../icebox/icebox_vlog.py -P ${pf}.psb ${pf}.txt > ${pf}_out.v
+ python3 ../../../icebox/icebox_vlog.py -P ${pf}.psb ${pf}.asc > ${pf}_out.v
iverilog -D"VCDFILE=\"${pf}_tb.vcd\"" -DINTYPE=${INTYPE} -o ${pf}_tb \
-s testbench ../test_pio_tb.v ${pf}.v ${pf}_out.v $lattice_simlib 2> /dev/null
./${pf}_tb > ${pf}_tb.txt