aboutsummaryrefslogtreecommitdiffstats
Commit message (Expand)AuthorAgeFilesLines
* Added lp8k-cm121 packageClifford Wolf2016-02-062-0/+144
* Added lp4k-cm225 packageClifford Wolf2016-02-062-0/+222
* Added LP4K and LP8K variants to icecube.shClifford Wolf2016-02-062-0/+36
* Added lp1k-cm121 packageClifford Wolf2016-02-063-0/+150
* Added lp1k-cm81 packageClifford Wolf2016-02-063-0/+116
* Added lp1k-cm49 packageClifford Wolf2016-02-063-0/+86
* Added lp1k-cm36 packageClifford Wolf2016-02-063-0/+75
* Added lp1k-cb121 packageClifford Wolf2016-02-063-0/+147
* Added lp1k-cb81 packageClifford Wolf2016-02-063-0/+115
* Added lp1k-swg16tr packageClifford Wolf2016-02-063-0/+55
* Added 8k-cm225 packageClifford Wolf2016-02-0610-24/+291
* Added IcePLL to web siteClifford Wolf2016-02-041-0/+6
* Website editsClifford Wolf2016-02-032-18/+34
* Timing models for LP and HX devicesClifford Wolf2016-02-0118-650/+1255
* Added CodeOfConductClifford Wolf2016-02-011-0/+73
* Sort pinloc_db entriesClifford Wolf2016-02-011-386/+386
* Improvements in icefuzz/pinlocClifford Wolf2016-02-0112-14/+408
* Added 4k cb132 packageClifford Wolf2016-02-015-6/+193
* Merge pull request #26 from kraiskil/masterClifford Wolf2016-01-315-2/+67
|\
| * Port example to iceblink40 board.Kalle Raiskila2016-01-314-0/+65
| * Allow DESTDIR and PREFIX overrides from environment.Kalle Raiskila2016-01-311-2/+2
|/
* icetime progressClifford Wolf2016-01-261-5/+19
* added iceBurn linkClifford Wolf2016-01-251-0/+1
* icetime progressClifford Wolf2016-01-252-6/+15
* Fixed gcc 4.7.2 compiler warning in icetimeClifford Wolf2016-01-241-1/+1
* Improved icetime PREFIX handlingClifford Wolf2016-01-232-5/+2
* Merge branch 'master' of github.com:cliffordwolf/icestormClifford Wolf2016-01-232-2/+5
|\
| * Merge pull request #25 from SebastianBoe/chipdb_path_issueClifford Wolf2016-01-232-2/+5
| |\
| | * icetime: fixed chipdb path issue for Arch LinuxSebastian Bøe2016-01-232-2/+5
| |/
* / linksClifford Wolf2016-01-191-1/+17
|/
* added icetime -o/-r optionsClifford Wolf2016-01-184-46/+61
* added icetime video to web pageClifford Wolf2016-01-171-0/+1
* Added icefuzz/icecube.sh [-1k|-8k]Clifford Wolf2016-01-171-1/+11
* icetime docsClifford Wolf2016-01-172-2/+10
* Added icetime to examplesClifford Wolf2016-01-175-7/+21
* added CascadeBuf to icefuzz/tmedges.ysClifford Wolf2016-01-172-2/+3
* Bugfix in "icetime -i"Clifford Wolf2016-01-161-19/+5
* icetime progressClifford Wolf2016-01-161-11/+59
* icefuzz improvements, refuzz timingsClifford Wolf2016-01-1615-646/+751
* icefuzz improvements (hacks for sbtimer device info)Clifford Wolf2016-01-164-21/+43
* icetime progressClifford Wolf2016-01-152-6/+13
* icetime progressClifford Wolf2016-01-151-4/+79
* icetime progressClifford Wolf2016-01-156-125/+316
* icetime progressClifford Wolf2016-01-111-103/+378
* icetime progressClifford Wolf2016-01-101-5/+35
* icetime progressClifford Wolf2016-01-092-0/+113
* Fuzzed RamCascade bitsClifford Wolf2016-01-097-1/+128
* icetime progressClifford Wolf2016-01-071-19/+47
* icetime progressClifford Wolf2016-01-072-14/+125
* icetime progressClifford Wolf2016-01-062-7/+56