aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2016-01-17 11:40:22 +0100
committerClifford Wolf <clifford@clifford.at>2016-01-17 11:40:22 +0100
commit7902d3ac3d0a519a07cb7c927e0722335a770f32 (patch)
treea19d501a0b4e767a66320f2ba5d393c58033ddcb
parent7b7f75e44f17f704e2e46b29f1b866c7cbf56d00 (diff)
downloadicestorm-7902d3ac3d0a519a07cb7c927e0722335a770f32.tar.gz
icestorm-7902d3ac3d0a519a07cb7c927e0722335a770f32.tar.bz2
icestorm-7902d3ac3d0a519a07cb7c927e0722335a770f32.zip
Added icetime to examples
-rw-r--r--examples/hx8kboard/.gitignore3
-rw-r--r--examples/hx8kboard/Makefile8
-rw-r--r--examples/icestick/.gitignore3
-rw-r--r--examples/icestick/Makefile10
-rw-r--r--icetime/icetime.cc4
5 files changed, 21 insertions, 7 deletions
diff --git a/examples/hx8kboard/.gitignore b/examples/hx8kboard/.gitignore
index 4b77d1b..c1fa30b 100644
--- a/examples/hx8kboard/.gitignore
+++ b/examples/hx8kboard/.gitignore
@@ -1,3 +1,4 @@
example.bin
example.blif
-example.txt
+example.asc
+example.rpt
diff --git a/examples/hx8kboard/Makefile b/examples/hx8kboard/Makefile
index 9795cdf..2e21206 100644
--- a/examples/hx8kboard/Makefile
+++ b/examples/hx8kboard/Makefile
@@ -2,7 +2,7 @@ PROJ = example
PIN_DEF = hx8kboard.pcf
DEVICE = 8k
-all: $(PROJ).bin
+all: $(PROJ).rpt $(PROJ).bin
%.blif: %.v
yosys -p 'synth_ice40 -top top -blif $@' $<
@@ -13,6 +13,9 @@ all: $(PROJ).bin
%.bin: %.asc
icepack $< $@
+%.rpt: %.asc
+ icetime -mt $< | tee $@
+
prog: $(PROJ).bin
iceprog $<
@@ -21,6 +24,7 @@ sudo-prog: $(PROJ).bin
sudo iceprog $<
clean:
- rm -f $(PROJ).blif $(PROJ).asc $(PROJ).bin
+ rm -f $(PROJ).blif $(PROJ).asc $(PROJ).rpt $(PROJ).bin
+.SECONDARY:
.PHONY: all prog clean
diff --git a/examples/icestick/.gitignore b/examples/icestick/.gitignore
index 4b77d1b..c1fa30b 100644
--- a/examples/icestick/.gitignore
+++ b/examples/icestick/.gitignore
@@ -1,3 +1,4 @@
example.bin
example.blif
-example.txt
+example.asc
+example.rpt
diff --git a/examples/icestick/Makefile b/examples/icestick/Makefile
index 06a5633..26b79f8 100644
--- a/examples/icestick/Makefile
+++ b/examples/icestick/Makefile
@@ -2,7 +2,7 @@ PROJ = example
PIN_DEF = icestick.pcf
DEVICE = 1k
-all: $(PROJ).bin
+all: $(PROJ).rpt $(PROJ).bin
%.blif: %.v
yosys -p 'synth_ice40 -top top -blif $@' $<
@@ -13,14 +13,18 @@ all: $(PROJ).bin
%.bin: %.asc
icepack $< $@
+%.rpt: %.asc
+ icetime -mt $< | tee $@
+
prog: $(PROJ).bin
iceprog $<
sudo-prog: $(PROJ).bin
@echo 'Executing prog as root!!!'
- iceprog $<
+ sudo iceprog $<
clean:
- rm -f $(PROJ).blif $(PROJ).asc $(PROJ).bin
+ rm -f $(PROJ).blif $(PROJ).asc $(PROJ).rpt $(PROJ).bin
+.SECONDARY:
.PHONY: all prog clean
diff --git a/icetime/icetime.cc b/icetime/icetime.cc
index 42165e7..cec5cd6 100644
--- a/icetime/icetime.cc
+++ b/icetime/icetime.cc
@@ -1781,6 +1781,7 @@ int main(int argc, char **argv)
{
case 'p':
printf("// Reading input .pcf file..\n");
+ fflush(stdout);
read_pcf(optarg);
break;
case 'P':
@@ -1836,12 +1837,15 @@ int main(int argc, char **argv)
help(argv[0]);
printf("// Reading input .asc file..\n");
+ fflush(stdout);
read_config();
printf("// Reading %s chipdb file..\n", config_device.c_str());
+ fflush(stdout);
read_chipdb();
printf("// Creating timing netlist..\n");
+ fflush(stdout);
for (int net : used_nets)
for (auto &seg : net_to_segments[net])