aboutsummaryrefslogtreecommitdiffstats
path: root/icebox
Commit message (Expand)AuthorAgeFilesLines
* Fix icebox.py and remove extra debug outputClifford Wolf2017-07-311-5/+1
* Merge branch 'master' into ice5kClifford Wolf2017-07-311-16/+16
|\
| * makefile: Make install target work for WindowsRobert Ou2017-07-161-16/+16
* | Fix routing issues by normalizing the net names in the tiles.Scott Shawcroft2017-07-071-14/+14
* | Work in progress DB. Having trouble getting group_segments to work without er...Scott Shawcroft2017-07-073-14/+2391
* | More work figuring out values in icebox.pyScott Shawcroft2017-06-232-17/+152
* | work in progress chipdbScott Shawcroft2017-06-233-27/+128
|/
* icefuzz data and test scripts for LP384-CM49hermitsoft2017-03-101-1/+1
* ieren and pin info for all LP384hermitsoft2017-03-091-40/+105
* Icebox builds chipdb-384, icebox.py data still to refine.hermitsoft2017-03-083-4/+5
* filled LP384 fields in icebox.py but data needs refinementhermitsoft2017-03-081-8/+81
* Some LP384 data added to icebox.pyhermitsoft2017-03-081-5/+41
* Fix some of the obvious 384 todos in icebox.pyClifford Wolf2017-03-081-8/+3
* tmedges.txt added, icebox-Makefile buildablehermitsoft2017-03-071-1/+1
* Work started for LP384 chipdb.hermitsoft2017-03-064-6/+71
* Added icebox_stat.pyClifford Wolf2017-01-012-0/+141
* Added pcf --warn-no-port support to icebox_vlogClifford Wolf2016-12-311-0/+2
* Reproducibility improvementsLarry Doolittle2016-10-171-2/+2
* Typo fix in "icebox_vlog --help"Clifford Wolf2016-06-141-1/+1
* Added icebox noplls_dbClifford Wolf2016-05-152-0/+18
* Added lp4k-cm81 packageClifford Wolf2016-02-061-0/+65
* Added lp4k-cm121 packageClifford Wolf2016-02-061-0/+95
* Added lp8k-cm81 packageClifford Wolf2016-02-061-0/+66
* Added lp8k-cm121 packageClifford Wolf2016-02-061-0/+95
* Added lp4k-cm225 packageClifford Wolf2016-02-061-0/+169
* Added lp1k-cm121 packageClifford Wolf2016-02-061-0/+97
* Added lp1k-cm81 packageClifford Wolf2016-02-061-0/+65
* Added lp1k-cm49 packageClifford Wolf2016-02-061-0/+37
* Added lp1k-cm36 packageClifford Wolf2016-02-061-0/+27
* Added lp1k-cb121 packageClifford Wolf2016-02-061-0/+94
* Added lp1k-cb81 packageClifford Wolf2016-02-061-0/+64
* Added lp1k-swg16tr packageClifford Wolf2016-02-061-0/+12
* Added 8k-cm225 packageClifford Wolf2016-02-061-0/+189
* Sort pinloc_db entriesClifford Wolf2016-02-011-386/+386
* Improvements in icefuzz/pinlocClifford Wolf2016-02-011-7/+314
* Added 4k cb132 packageClifford Wolf2016-02-011-0/+99
* icefuzz improvements, refuzz timingsClifford Wolf2016-01-161-0/+4
* Fuzzed RamCascade bitsClifford Wolf2016-01-092-0/+10
* Renamed IceBox .txt files to .asc filesClifford Wolf2016-01-015-5/+5
* Added config.mk, correct DESTDIR/PREFIX usageClifford Wolf2015-12-311-26/+26
* Added pins for iCE40-LP1k qn84juan-micuss2015-12-301-0/+69
* Added icebox_diff help messageClifford Wolf2015-12-181-0/+6
* Python3 fixesClifford Wolf2015-12-043-9/+10
* Added lutff_i/lout net to modelClifford Wolf2015-12-042-17/+14
* Bugfix in icebox_vlog.pyClifford Wolf2015-11-281-1/+1
* Revert "Added heuristics to further reduce LUT equations."Clifford Wolf2015-11-011-12/+5
* Added heuristics to further reduce LUT equations.ylm2015-10-261-5/+12
* Indent fixClifford Wolf2015-10-241-1/+1
* add 1k package variant vq100 to pinloc_db and ieren_dbAlexander Graf2015-10-231-0/+75
* Added 8k timing dataClifford Wolf2015-10-061-0/+1