aboutsummaryrefslogtreecommitdiffstats
path: root/icefuzz/tests/carry.v
blob: 42aae8663e9139e2f4d264e13a1906548633dc07 (plain)
1
2
3
4
5
6
7
8
module top (input a, b, ci, output co);
	SB_CARRY carry_cell (
		.I0(a),
		.I1(b),
		.CI(ci),
		.CO(co)
	);
endmodule