aboutsummaryrefslogtreecommitdiffstats
path: root/icefuzz/tests/colbuf_logic_8k.sh
blob: b4a0348e0c57424c6b3c9fbbbcb994a2da0b2747 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
#!/bin/bash

set -ex

mkdir -p colbuf_logic_8k.work
cd colbuf_logic_8k.work

glb_pins="C8 F7 G1 H11 H16 J3 K9 R9"

for x in {1..7} {9..24} {26..32}; do
for y in {1..32}; do
	pf="colbuf_logic_8k_${x}_${y}"
	gpin=$( echo $glb_pins | tr ' ' '\n' | sort -R | head -n1; )
	cat > ${pf}.v <<- EOT
		module top (input c, d, output q);
			SB_DFF dff (
				.C(c),
				.D(d),
				.Q(q)
			);
		endmodule
	EOT
	echo "set_location dff $x $y 0" > ${pf}.pcf
	echo "set_io c $gpin" >> ${pf}.pcf
	ICEDEV=hx8k-ct256 bash ../../icecube.sh ${pf}.v > ${pf}.log 2>&1
	../../../icebox/icebox_explain.py ${pf}.asc > ${pf}.exp
	rm -rf ${pf}.tmp
done; done