aboutsummaryrefslogtreecommitdiffstats
path: root/icefuzz/tests/icegate.v
blob: 13b7dd5c1f008b2d27810646146becad9cf77215 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
module top (
    inout pin,
    input latch_in,
    output din_0,
    output global
);
    SB_GB_IO #(
        .PIN_TYPE(6'b 0000_11),
        .PULLUP(1'b 0),
        .NEG_TRIGGER(1'b 0),
        .IO_STANDARD("SB_LVCMOS")
    ) \pin_gb_io (
        .PACKAGE_PIN(pin),
        .LATCH_INPUT_VALUE(latch_in),
        .D_IN_0(din_0),
        .GLOBAL_BUFFER_OUTPUT(globals)
    );
endmodule